From ef5e4cf601e9a63464b7f3438a11287c7565e785 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 7 Aug 2022 07:33:16 +0200 Subject: testsuite/gna: add a test for #2164 --- testsuite/gna/issue2164/e.vhdl | 14 ++++++++++++++ testsuite/gna/issue2164/testsuite.sh | 9 +++++++++ 2 files changed, 23 insertions(+) create mode 100644 testsuite/gna/issue2164/e.vhdl create mode 100755 testsuite/gna/issue2164/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue2164/e.vhdl b/testsuite/gna/issue2164/e.vhdl new file mode 100644 index 000000000..c03fa203e --- /dev/null +++ b/testsuite/gna/issue2164/e.vhdl @@ -0,0 +1,14 @@ +package p is + function f(arg : integer := integer'(1)) return boolean; +end; + +package body p is + function f(arg : integer := integer'(1)) return boolean + is + begin + return false; + end; +end; + +entity e is end; +architecture a of e is begin end; diff --git a/testsuite/gna/issue2164/testsuite.sh b/testsuite/gna/issue2164/testsuite.sh new file mode 100755 index 000000000..8799a9d28 --- /dev/null +++ b/testsuite/gna/issue2164/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze e.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3