aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2164/e.vhdl
blob: c03fa203e50a218dd0d618a5d1379b2c3d8284d3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
package p is 
    function f(arg : integer := integer'(1)) return boolean;
end;

package body p is
    function f(arg : integer := integer'(1)) return boolean
    is
    begin
        return false;
    end;
end;

entity e is end; 
architecture a of e is begin end;