aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2164/e.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2164/e.vhdl')
-rw-r--r--testsuite/gna/issue2164/e.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/issue2164/e.vhdl b/testsuite/gna/issue2164/e.vhdl
new file mode 100644
index 000000000..c03fa203e
--- /dev/null
+++ b/testsuite/gna/issue2164/e.vhdl
@@ -0,0 +1,14 @@
+package p is
+ function f(arg : integer := integer'(1)) return boolean;
+end;
+
+package body p is
+ function f(arg : integer := integer'(1)) return boolean
+ is
+ begin
+ return false;
+ end;
+end;
+
+entity e is end;
+architecture a of e is begin end;