aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-04-30 21:16:12 +0200
committerTristan Gingold <tgingold@free.fr>2021-04-30 21:16:12 +0200
commit8e8bcd08a82757efa50e1ab9f58ab8186faccccb (patch)
treeeb69fbb4cb74189377a36f29a658298fc3106407 /testsuite
parent2a33708a633734c0ac463ce5ec922009475525e6 (diff)
downloadghdl-8e8bcd08a82757efa50e1ab9f58ab8186faccccb.tar.gz
ghdl-8e8bcd08a82757efa50e1ab9f58ab8186faccccb.tar.bz2
ghdl-8e8bcd08a82757efa50e1ab9f58ab8186faccccb.zip
testsuite/synth: add tests for concurrent dff
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/dff05/dff01.vhdl13
-rw-r--r--testsuite/synth/dff05/dff02.vhdl14
-rw-r--r--testsuite/synth/dff05/tb_dff01.vhdl40
-rw-r--r--testsuite/synth/dff05/tb_dff02.vhdl55
-rwxr-xr-xtestsuite/synth/dff05/testsuite.sh9
5 files changed, 131 insertions, 0 deletions
diff --git a/testsuite/synth/dff05/dff01.vhdl b/testsuite/synth/dff05/dff01.vhdl
new file mode 100644
index 000000000..f16e614dc
--- /dev/null
+++ b/testsuite/synth/dff05/dff01.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dff01 is
+ port (q : out std_logic;
+ d : std_logic;
+ clk : std_logic);
+end dff01;
+
+architecture behav of dff01 is
+begin
+ q <= d when rising_edge (clk);
+end behav;
diff --git a/testsuite/synth/dff05/dff02.vhdl b/testsuite/synth/dff05/dff02.vhdl
new file mode 100644
index 000000000..09d10e29c
--- /dev/null
+++ b/testsuite/synth/dff05/dff02.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dff02 is
+ port (q : out std_logic;
+ d : std_logic;
+ clk : std_logic;
+ rst : std_logic);
+end dff02;
+
+architecture behav of dff02 is
+begin
+ q <= '0' when rst = '1' else d when rising_edge (clk);
+end behav;
diff --git a/testsuite/synth/dff05/tb_dff01.vhdl b/testsuite/synth/dff05/tb_dff01.vhdl
new file mode 100644
index 000000000..7008a8b95
--- /dev/null
+++ b/testsuite/synth/dff05/tb_dff01.vhdl
@@ -0,0 +1,40 @@
+entity tb_dff01 is
+end tb_dff01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_dff01 is
+ signal clk : std_logic;
+ signal din : std_logic;
+ signal dout : std_logic;
+begin
+ dut: entity work.dff01
+ port map (
+ q => dout,
+ d => din,
+ clk => clk);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+ pulse;
+ assert dout = '1' severity failure;
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/synth/dff05/tb_dff02.vhdl b/testsuite/synth/dff05/tb_dff02.vhdl
new file mode 100644
index 000000000..d22a3bd9c
--- /dev/null
+++ b/testsuite/synth/dff05/tb_dff02.vhdl
@@ -0,0 +1,55 @@
+entity tb_dff02 is
+end tb_dff02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_dff02 is
+ signal clk : std_logic;
+ signal din : std_logic;
+ signal dout : std_logic;
+ signal rst : std_logic;
+begin
+ dut: entity work.dff02
+ port map (
+ q => dout,
+ d => din,
+ clk => clk,
+ rst => rst);
+
+ process
+ procedure pulse is
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ clk <= '1';
+ wait for 1 ns;
+ end pulse;
+ begin
+ rst <= '1';
+ wait for 1 ns;
+ assert dout = '0' severity failure;
+
+ rst <= '0';
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+
+ din <= '0';
+ pulse;
+ assert dout = '0' severity failure;
+
+ pulse;
+ assert dout = '0' severity failure;
+
+ din <= '1';
+ pulse;
+ assert dout = '1' severity failure;
+
+ rst <= '1';
+ wait for 1 ns;
+ assert dout = '0' severity failure;
+
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/synth/dff05/testsuite.sh b/testsuite/synth/dff05/testsuite.sh
new file mode 100755
index 000000000..a86174437
--- /dev/null
+++ b/testsuite/synth/dff05/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in dff01 dff02; do
+ synth_tb $t
+done
+
+echo "Test successful"