aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff05/dff02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dff05/dff02.vhdl')
-rw-r--r--testsuite/synth/dff05/dff02.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/dff05/dff02.vhdl b/testsuite/synth/dff05/dff02.vhdl
new file mode 100644
index 000000000..09d10e29c
--- /dev/null
+++ b/testsuite/synth/dff05/dff02.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dff02 is
+ port (q : out std_logic;
+ d : std_logic;
+ clk : std_logic;
+ rst : std_logic);
+end dff02;
+
+architecture behav of dff02 is
+begin
+ q <= '0' when rst = '1' else d when rising_edge (clk);
+end behav;