aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-04-30 08:25:09 +0200
committerTristan Gingold <tgingold@free.fr>2023-04-30 08:25:09 +0200
commit9da0c085ef11c4096599202f911510600cb69dbe (patch)
tree03c9741ec4c333ea83da24651d29c313a7fe76f4
parente5edbbba3395c7e72caaf8c582cd8e7f9667b014 (diff)
downloadghdl-9da0c085ef11c4096599202f911510600cb69dbe.tar.gz
ghdl-9da0c085ef11c4096599202f911510600cb69dbe.tar.bz2
ghdl-9da0c085ef11c4096599202f911510600cb69dbe.zip
testsuite/gna: add a test for #2425
-rw-r--r--testsuite/gna/issue2425/pkg.vhdl9
-rwxr-xr-xtestsuite/gna/issue2425/testsuite.sh10
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue2425/pkg.vhdl b/testsuite/gna/issue2425/pkg.vhdl
new file mode 100644
index 000000000..500ebf52c
--- /dev/null
+++ b/testsuite/gna/issue2425/pkg.vhdl
@@ -0,0 +1,9 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package pkg is
+
+ constant MY_CONSTANT : std_logic_vector(15 downto 0) := (others => '0');
+ constant MY_CONSTANT2 : std_logic_vector(15 downto 0) := to_slv(MY_CONSTANT);
+
+end package;
diff --git a/testsuite/gna/issue2425/testsuite.sh b/testsuite/gna/issue2425/testsuite.sh
new file mode 100755
index 000000000..042da037f
--- /dev/null
+++ b/testsuite/gna/issue2425/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze pkg.vhdl
+
+clean
+
+echo "Test successful"