From 9da0c085ef11c4096599202f911510600cb69dbe Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 30 Apr 2023 08:25:09 +0200 Subject: testsuite/gna: add a test for #2425 --- testsuite/gna/issue2425/pkg.vhdl | 9 +++++++++ testsuite/gna/issue2425/testsuite.sh | 10 ++++++++++ 2 files changed, 19 insertions(+) create mode 100644 testsuite/gna/issue2425/pkg.vhdl create mode 100755 testsuite/gna/issue2425/testsuite.sh diff --git a/testsuite/gna/issue2425/pkg.vhdl b/testsuite/gna/issue2425/pkg.vhdl new file mode 100644 index 000000000..500ebf52c --- /dev/null +++ b/testsuite/gna/issue2425/pkg.vhdl @@ -0,0 +1,9 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +package pkg is + + constant MY_CONSTANT : std_logic_vector(15 downto 0) := (others => '0'); + constant MY_CONSTANT2 : std_logic_vector(15 downto 0) := to_slv(MY_CONSTANT); + +end package; diff --git a/testsuite/gna/issue2425/testsuite.sh b/testsuite/gna/issue2425/testsuite.sh new file mode 100755 index 000000000..042da037f --- /dev/null +++ b/testsuite/gna/issue2425/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze pkg.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3