aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2425/pkg.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2425/pkg.vhdl')
-rw-r--r--testsuite/gna/issue2425/pkg.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/gna/issue2425/pkg.vhdl b/testsuite/gna/issue2425/pkg.vhdl
new file mode 100644
index 000000000..500ebf52c
--- /dev/null
+++ b/testsuite/gna/issue2425/pkg.vhdl
@@ -0,0 +1,9 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package pkg is
+
+ constant MY_CONSTANT : std_logic_vector(15 downto 0) := (others => '0');
+ constant MY_CONSTANT2 : std_logic_vector(15 downto 0) := to_slv(MY_CONSTANT);
+
+end package;