blob: 500ebf52c4ebc0eabc871e7995f1f91effff0e6c (
plain)
1
2
3
4
5
6
7
8
9
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package pkg is
constant MY_CONSTANT : std_logic_vector(15 downto 0) := (others => '0');
constant MY_CONSTANT2 : std_logic_vector(15 downto 0) := to_slv(MY_CONSTANT);
end package;
|