summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:28:29 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:28:29 +0100
commit2649be4c5a801f6ac1a8c6e21385472438d77cbd (patch)
treec4a5b09de6970375c1ad3a056e5187e576372c8d
parentb2e8ee8647dc134663888e092b670cb366614a95 (diff)
downloadbbc_de1-2649be4c5a801f6ac1a8c6e21385472438d77cbd.tar.gz
bbc_de1-2649be4c5a801f6ac1a8c6e21385472438d77cbd.tar.bz2
bbc_de1-2649be4c5a801f6ac1a8c6e21385472438d77cbd.zip
Revert "fish"
This reverts commit b2e8ee8647dc134663888e092b670cb366614a95.
-rw-r--r--quartus/bbc_micro_de1.vhd2
1 files changed, 0 insertions, 2 deletions
diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd
index 4beca04..028ec7e 100644
--- a/quartus/bbc_micro_de1.vhd
+++ b/quartus/bbc_micro_de1.vhd
@@ -744,8 +744,6 @@ signal romsel : std_logic_vector(3 downto 0);
signal mhz1_enable : std_logic; -- Set for access to any 1 MHz peripheral
-signal clock_32 : std_logic;
-
begin
-------------------------
-- COMPONENT INSTANCES