summaryrefslogtreecommitdiffstats
path: root/quartus/bbc_micro_de1.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/bbc_micro_de1.vhd')
-rw-r--r--quartus/bbc_micro_de1.vhd2
1 files changed, 0 insertions, 2 deletions
diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd
index 4beca04..028ec7e 100644
--- a/quartus/bbc_micro_de1.vhd
+++ b/quartus/bbc_micro_de1.vhd
@@ -744,8 +744,6 @@ signal romsel : std_logic_vector(3 downto 0);
signal mhz1_enable : std_logic; -- Set for access to any 1 MHz peripheral
-signal clock_32 : std_logic;
-
begin
-------------------------
-- COMPONENT INSTANCES