summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:28:25 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:28:25 +0100
commitb2e8ee8647dc134663888e092b670cb366614a95 (patch)
treeafe5293e5ea182a02550bd8b297fc071c41f61ec
parent70d56cad0d02525d2ab5f484d048fca391cdce8c (diff)
downloadbbc_de1-b2e8ee8647dc134663888e092b670cb366614a95.tar.gz
bbc_de1-b2e8ee8647dc134663888e092b670cb366614a95.tar.bz2
bbc_de1-b2e8ee8647dc134663888e092b670cb366614a95.zip
fish
-rw-r--r--quartus/bbc_micro_de1.vhd2
1 files changed, 2 insertions, 0 deletions
diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd
index 028ec7e..4beca04 100644
--- a/quartus/bbc_micro_de1.vhd
+++ b/quartus/bbc_micro_de1.vhd
@@ -744,6 +744,8 @@ signal romsel : std_logic_vector(3 downto 0);
signal mhz1_enable : std_logic; -- Set for access to any 1 MHz peripheral
+signal clock_32 : std_logic;
+
begin
-------------------------
-- COMPONENT INSTANCES