From 2649be4c5a801f6ac1a8c6e21385472438d77cbd Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:28:29 +0100 Subject: Revert "fish" This reverts commit b2e8ee8647dc134663888e092b670cb366614a95. --- quartus/bbc_micro_de1.vhd | 2 -- 1 file changed, 2 deletions(-) diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd index 4beca04..028ec7e 100644 --- a/quartus/bbc_micro_de1.vhd +++ b/quartus/bbc_micro_de1.vhd @@ -744,8 +744,6 @@ signal romsel : std_logic_vector(3 downto 0); signal mhz1_enable : std_logic; -- Set for access to any 1 MHz peripheral -signal clock_32 : std_logic; - begin ------------------------- -- COMPONENT INSTANCES -- cgit v1.2.3