aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue3.vhdl
blob: c7fb4a750a09448c0ddf23c417d2fb71206aea40 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity issue3 is
    port (foo  : in  std_logic_vector(32-1 downto 0);
          bar  : out std_logic);
end issue3;

architecture rtl of issue3 is
        alias a_bar is foo(foo'high);
begin
    bar <= a_bar;
end architecture;