aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1175/issue3.vhdl')
-rw-r--r--testsuite/synth/issue1175/issue3.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/synth/issue1175/issue3.vhdl b/testsuite/synth/issue1175/issue3.vhdl
new file mode 100644
index 000000000..c7fb4a750
--- /dev/null
+++ b/testsuite/synth/issue1175/issue3.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue3 is
+ port (foo : in std_logic_vector(32-1 downto 0);
+ bar : out std_logic);
+end issue3;
+
+architecture rtl of issue3 is
+ alias a_bar is foo(foo'high);
+begin
+ bar <= a_bar;
+end architecture;