aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-12-13 18:35:52 +0100
committerTristan Gingold <tgingold@free.fr>2020-12-13 18:35:52 +0100
commit920e4c91bf32a292809f1afa4f96f7ec1312a9c7 (patch)
tree76749dfc28559944741af46bc51ce5a769a63d14 /testsuite
parent500ead97ba8211947a8adada9504a1cbc7539b99 (diff)
downloadghdl-920e4c91bf32a292809f1afa4f96f7ec1312a9c7.tar.gz
ghdl-920e4c91bf32a292809f1afa4f96f7ec1312a9c7.tar.bz2
ghdl-920e4c91bf32a292809f1afa4f96f7ec1312a9c7.zip
testsuite/synth: more tests for #1534
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/synth/issue1534/ent2.vhdl13
-rw-r--r--testsuite/synth/issue1534/xor01.vhdl13
-rw-r--r--testsuite/synth/issue1534/xor02.vhdl15
3 files changed, 41 insertions, 0 deletions
diff --git a/testsuite/synth/issue1534/ent2.vhdl b/testsuite/synth/issue1534/ent2.vhdl
new file mode 100644
index 000000000..cf20daf85
--- /dev/null
+++ b/testsuite/synth/issue1534/ent2.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent2 is
+ port (
+ o: out std_ulogic_vector(3 downto 0)
+ );
+end entity;
+
+architecture arch of ent2 is
+begin
+ o <= to_stdulogicvector(bit_vector'("0101"));
+end architecture;
diff --git a/testsuite/synth/issue1534/xor01.vhdl b/testsuite/synth/issue1534/xor01.vhdl
new file mode 100644
index 000000000..41a8e252d
--- /dev/null
+++ b/testsuite/synth/issue1534/xor01.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity xor01 is
+ port (
+ o: out bit_vector(3 downto 0)
+ );
+end entity;
+
+architecture arch of xor01 is
+begin
+ o <= bit_vector'("1100") xor bit_vector'("1010");
+end architecture;
diff --git a/testsuite/synth/issue1534/xor02.vhdl b/testsuite/synth/issue1534/xor02.vhdl
new file mode 100644
index 000000000..9d1c63319
--- /dev/null
+++ b/testsuite/synth/issue1534/xor02.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity xor02 is
+ port (
+ o: out bit
+ );
+end entity;
+
+architecture arch of xor02 is
+ constant x: bit_vector(0 to 0) := "1";
+ constant y: bit_vector(0 to 0) := "0";
+begin
+ o <= x(0) xor y(0);
+end architecture;