aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1534/xor02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1534/xor02.vhdl')
-rw-r--r--testsuite/synth/issue1534/xor02.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/issue1534/xor02.vhdl b/testsuite/synth/issue1534/xor02.vhdl
new file mode 100644
index 000000000..9d1c63319
--- /dev/null
+++ b/testsuite/synth/issue1534/xor02.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity xor02 is
+ port (
+ o: out bit
+ );
+end entity;
+
+architecture arch of xor02 is
+ constant x: bit_vector(0 to 0) := "1";
+ constant y: bit_vector(0 to 0) := "0";
+begin
+ o <= x(0) xor y(0);
+end architecture;