aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1534/ent2.vhdl
blob: cf20daf85fed18b8dc3141a8c2683bf1b052bdd2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity ent2 is
    port (
        o: out std_ulogic_vector(3 downto 0)
    );
end entity;

architecture arch of ent2 is
begin
    o <= to_stdulogicvector(bit_vector'("0101"));
end architecture;