aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1283/issue2.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-05 04:39:04 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-05 04:39:04 +0200
commit759ea90fecb9b73e7b320fb86f290195d3c6e026 (patch)
tree0086e304e931ce10d98b43303f450527431ea69c /testsuite/synth/issue1283/issue2.vhdl
parent3f02d97cfe261bb96b7717c4e6199b20f253b361 (diff)
downloadghdl-759ea90fecb9b73e7b320fb86f290195d3c6e026.tar.gz
ghdl-759ea90fecb9b73e7b320fb86f290195d3c6e026.tar.bz2
ghdl-759ea90fecb9b73e7b320fb86f290195d3c6e026.zip
testsuite/synth: add test from #1283
Diffstat (limited to 'testsuite/synth/issue1283/issue2.vhdl')
-rw-r--r--testsuite/synth/issue1283/issue2.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1283/issue2.vhdl b/testsuite/synth/issue1283/issue2.vhdl
new file mode 100644
index 000000000..31425026d
--- /dev/null
+++ b/testsuite/synth/issue1283/issue2.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue2 is
+end issue2;
+
+architecture beh of issue2 is
+ type t_rec is
+ record
+ elem : std_logic_vector (3 downto 0);
+ end record;
+
+ function fun (arg : std_logic_vector) return t_rec is
+ begin
+ return t_rec'(elem => arg);
+ end function;
+begin
+ -- wrong length
+ -- -a accepts
+ -- -synth error + bug report
+ assert fun ("000") = fun ("000");
+end architecture beh;