From 759ea90fecb9b73e7b320fb86f290195d3c6e026 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 5 May 2020 04:39:04 +0200 Subject: testsuite/synth: add test from #1283 --- testsuite/synth/issue1283/issue2.vhdl | 22 ++++++++++++++++++++++ 1 file changed, 22 insertions(+) create mode 100644 testsuite/synth/issue1283/issue2.vhdl (limited to 'testsuite/synth/issue1283/issue2.vhdl') diff --git a/testsuite/synth/issue1283/issue2.vhdl b/testsuite/synth/issue1283/issue2.vhdl new file mode 100644 index 000000000..31425026d --- /dev/null +++ b/testsuite/synth/issue1283/issue2.vhdl @@ -0,0 +1,22 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity issue2 is +end issue2; + +architecture beh of issue2 is + type t_rec is + record + elem : std_logic_vector (3 downto 0); + end record; + + function fun (arg : std_logic_vector) return t_rec is + begin + return t_rec'(elem => arg); + end function; +begin + -- wrong length + -- -a accepts + -- -synth error + bug report + assert fun ("000") = fun ("000"); +end architecture beh; -- cgit v1.2.3