aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1283/issue2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1283/issue2.vhdl')
-rw-r--r--testsuite/synth/issue1283/issue2.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/issue1283/issue2.vhdl b/testsuite/synth/issue1283/issue2.vhdl
new file mode 100644
index 000000000..31425026d
--- /dev/null
+++ b/testsuite/synth/issue1283/issue2.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue2 is
+end issue2;
+
+architecture beh of issue2 is
+ type t_rec is
+ record
+ elem : std_logic_vector (3 downto 0);
+ end record;
+
+ function fun (arg : std_logic_vector) return t_rec is
+ begin
+ return t_rec'(elem => arg);
+ end function;
+begin
+ -- wrong length
+ -- -a accepts
+ -- -synth error + bug report
+ assert fun ("000") = fun ("000");
+end architecture beh;