aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-29 08:29:17 +0200
committerTristan Gingold <tgingold@free.fr>2020-03-29 08:29:17 +0200
commit9f6ac61e196ccf49960bbdb2e7d71cdd96ddd10d (patch)
tree52c8103df73a69b209c354321ffd90540c966fc6 /testsuite/synth/issue1175/issue.vhdl
parent6739ef05016a6148f899be092a4e9bb4512d0d78 (diff)
downloadghdl-9f6ac61e196ccf49960bbdb2e7d71cdd96ddd10d.tar.gz
ghdl-9f6ac61e196ccf49960bbdb2e7d71cdd96ddd10d.tar.bz2
ghdl-9f6ac61e196ccf49960bbdb2e7d71cdd96ddd10d.zip
testsuite/synth: add tests for #1175
Diffstat (limited to 'testsuite/synth/issue1175/issue.vhdl')
-rw-r--r--testsuite/synth/issue1175/issue.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/issue1175/issue.vhdl b/testsuite/synth/issue1175/issue.vhdl
new file mode 100644
index 000000000..f729452c7
--- /dev/null
+++ b/testsuite/synth/issue1175/issue.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity issue is
+ port (foo : in std_logic_vector(32-1 downto 0);
+ bar : out std_logic);
+end issue;
+
+architecture rtl of issue is
+ alias a_bar is foo(foo'high);
+begin
+ bar <= a_bar;
+end architecture;