aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1175/issue.vhdl')
-rw-r--r--testsuite/synth/issue1175/issue.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/issue1175/issue.vhdl b/testsuite/synth/issue1175/issue.vhdl
new file mode 100644
index 000000000..f729452c7
--- /dev/null
+++ b/testsuite/synth/issue1175/issue.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity issue is
+ port (foo : in std_logic_vector(32-1 downto 0);
+ bar : out std_logic);
+end issue;
+
+architecture rtl of issue is
+ alias a_bar is foo(foo'high);
+begin
+ bar <= a_bar;
+end architecture;