summaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorroot <root@no.no.james.local>2018-05-17 18:12:57 +0100
committerroot <root@no.no.james.local>2018-05-17 18:12:57 +0100
commit85b8cf5877ed7082564a47d94917ca7151977625 (patch)
tree31cec84d278a478105c3f12c9d04158315c40053 /Makefile
parent3769dd04597e39140755bd4b92023570e6fcde3c (diff)
downloadrob_spdif-85b8cf5877ed7082564a47d94917ca7151977625.tar.gz
rob_spdif-85b8cf5877ed7082564a47d94917ca7151977625.tar.bz2
rob_spdif-85b8cf5877ed7082564a47d94917ca7151977625.zip
minor fixes, make clock simulator happy and fix AS programming
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile17
1 files changed, 3 insertions, 14 deletions
diff --git a/Makefile b/Makefile
index b73ea37..7d2149e 100644
--- a/Makefile
+++ b/Makefile
@@ -6,8 +6,7 @@ S2=${S1:pll200.vhd=}
TIDY_SRC=${S2}
SOF=output_files/${PROJ}.sof
-POF=${PROJ}.pof
-JIC=${PROJ}.jic
+POF=output_files/${PROJ}.pof
default: load_sof.stamp sim.stamp
@@ -38,8 +37,8 @@ sim.stamp: fit.stamp
load_sof.stamp: ${SOF}
tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"
-#flash: ${POF}
-# tools/wrap quartus_pgm -m AS -o "p;${POF}"
+flash: ${POF}
+ tools/wrap quartus_pgm -m AS -o "p;${POF}"
quartus:
tools/wrap quartus ${PROJ}.qpf
@@ -57,16 +56,6 @@ clean:
-${JIC}:${SOF}
- tools/wrap quartus_cpf -c ${PROJ}.cof
-
-
-flash:${JIC}
- tools/wrap quartus_pgm -m JTAG -o "ip;${JIC}"
- tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"
-
-
-
tidy:
for i in ${TIDY_SRC}; do tools/vhdl-pretty < $$i > $$i.pp && mv -f $$i $$i.orig && mv $$i.pp $$i ; done