summaryrefslogtreecommitdiffstats
path: root/cpld/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'cpld/Makefile')
-rw-r--r--cpld/Makefile74
1 files changed, 74 insertions, 0 deletions
diff --git a/cpld/Makefile b/cpld/Makefile
new file mode 100644
index 0000000..d951aa3
--- /dev/null
+++ b/cpld/Makefile
@@ -0,0 +1,74 @@
+PROJ=laser
+
+SRCS=$(wildcard *.vhd *.v *.qsf *.qpf )
+
+SOF=${PROJ}.sof
+POF=${PROJ}.pof
+JIC=${PROJ}.jic
+
+default: flash.stamp
+
+
+
+sta.stamp:asm.stamp
+ tools/wrap quartus_sta ${PROJ} -c ${PROJ}
+ touch $@
+
+asm.stamp:fit.stamp
+ tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
+ touch $@
+
+${POF} ${SOF}:asm.stamp
+
+fit.stamp: ans.stamp
+ tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
+ touch $@
+
+ans.stamp: ${SRCS}
+ tools/wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
+ touch $@
+
+sim.stamp: fit.stamp
+ tools/wrap quartus_eda ${PROJ} --simulation --tool=modelsim --format=verilog
+
+
+#${JIC}:${SOF}
+# tools/wrap quartus_cpf -c ${PROJ}.cof
+
+
+#load_sof.stamp: ${SOF}
+# tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"
+
+
+#flash:${JIC}
+# tools/wrap quartus_pgm -m JTAG -o "ip;${JIC}"
+# tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"
+
+
+flash: flash.stamp
+flash.stamp: ${POF}
+ tools/wrap quartus_pgm -m JTAG -o "p;${POF}"
+ touch $@
+
+
+
+
+sim netlist: sim.stamp
+
+quartus:
+ tools/wrap quartus ${PROJ}.qpf
+
+jtagd:
+ sudo killall jtagd || true
+ sudo tools/wrap jtagd
+
+clean:
+ /bin/rm -rf ${BSP_DIR} db incremental_db src/obj simulation
+ /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.smsg *.map *.jic
+ /bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
+ /bin/rm -f sopc_builder_log.txt src/*~ SDIF/*~
+ /bin/rm -f sim.stamp
+
+
+
+