summaryrefslogtreecommitdiffstats
path: root/cpld/Makefile
blob: d951aa3b9545cf993aa4435b3b9bff29a76e370c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
PROJ=laser

SRCS=$(wildcard *.vhd *.v *.qsf *.qpf )

SOF=${PROJ}.sof
POF=${PROJ}.pof
JIC=${PROJ}.jic

default: flash.stamp



sta.stamp:asm.stamp
	tools/wrap quartus_sta ${PROJ} -c ${PROJ}
	touch $@

asm.stamp:fit.stamp
	tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
	touch $@

${POF} ${SOF}:asm.stamp

fit.stamp: ans.stamp
	tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
	touch $@

ans.stamp: ${SRCS}
	tools/wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
	touch $@

sim.stamp: fit.stamp
	tools/wrap quartus_eda ${PROJ} --simulation --tool=modelsim --format=verilog


#${JIC}:${SOF}
#	tools/wrap quartus_cpf -c ${PROJ}.cof


#load_sof.stamp: ${SOF}
#	tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"


#flash:${JIC}
#	tools/wrap quartus_pgm -m JTAG -o "ip;${JIC}"
#	tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"


flash: flash.stamp
flash.stamp: ${POF}
	tools/wrap quartus_pgm -m JTAG -o "p;${POF}"
	touch $@




sim netlist: sim.stamp

quartus:
	tools/wrap quartus ${PROJ}.qpf

jtagd:
	sudo killall jtagd || true
	sudo tools/wrap jtagd

clean:
	/bin/rm -rf ${BSP_DIR} db incremental_db src/obj simulation
	/bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.smsg *.map *.jic
	/bin/rm -f src/${PROJ}.objdump src/${PROJ}.map 
	/bin/rm -f sopc_builder_log.txt src/*~ SDIF/*~
	/bin/rm -f sim.stamp