aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/param_no_default.sv
Commit message (Collapse)AuthorAgeFilesLines
* sv: support for parameters without default valuesZachary Snow2021-03-021-0/+52
- Modules with a parameter without a default value will be automatically deferred until the hierarchy pass - Allows for parameters without defaults as module items, rather than just int the `parameter_port_list`, despite being forbidden in the LRM - Check for parameters without defaults that haven't been overriden - Add location info to parameter/localparam declarations