aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-093-23/+136
|\
| * unmap $__ICE40_CARRY_WRAPPER in testEddie Hung2019-12-091-1/+21
| * ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-091-3/+5
| * Drop keep=0 attributes on SB_CARRYEddie Hung2019-12-061-2/+2
| * Add WIP test for unwrapping $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+30
| * Check SB_CARRY name also preservedEddie Hung2019-12-031-0/+1
| * Add testcaseEddie Hung2019-12-031-0/+60
* | tests: arch: xilinx: Change order of arguments in macc.shJan Kowalewski2019-12-061-1/+1
|/
* Merge pull request #1524 from pepijndevos/gowindffinitClifford Wolf2019-12-033-2/+301
|\
| * update testPepijn de Vos2019-12-031-2/+3
| * Use -match-init to not synth contradicting init valuesPepijn de Vos2019-12-031-10/+12
| * attempt to fix formattingPepijn de Vos2019-11-251-138/+138
| * gowin: add and test dff init valuesPepijn de Vos2019-11-252-0/+296
* | No need for -abc9Eddie Hung2019-11-261-1/+1
* | Add citationEddie Hung2019-11-261-0/+1
* | Add testcase derived from fastfir_dynamictaps benchmarkEddie Hung2019-11-261-0/+68
* | xilinx: Use INV instead of LUT1 when applicableMarcin Koƛcielnicki2019-11-254-8/+8
|/
* gowin: Remove show command from tests.Marcin Koƛcielnicki2019-11-221-1/+0
* Merge branch 'master' of https://github.com/YosysHQ/yosys into gowinPepijn de Vos2019-11-165-17/+34
|\
| * Fixed testsMiodrag Milanovic2019-11-115-17/+34
* | fix fsm test with proper clock enable polarityPepijn de Vos2019-11-111-0/+11
* | fix wide lutsPepijn de Vos2019-11-061-7/+10
* | don't cound exact luts in big muxes; futile and fragilePepijn de Vos2019-10-301-3/+0
* | add tristate buffer and testPepijn de Vos2019-10-281-0/+13
* | do not use wide luts in testcasePepijn de Vos2019-10-281-3/+3
* | ALU sim tweaksPepijn de Vos2019-10-241-2/+2
* | Add some testsPepijn de Vos2019-10-2110-0/+224
|/
* fixed errorMiodrag Milanovic2019-10-181-1/+1
* Unify verilog styleMiodrag Milanovic2019-10-1811-191/+157
* Common memory test now sharedMiodrag Milanovic2019-10-1810-89/+5
* Remove not needed testsMiodrag Milanovic2019-10-184-52/+0
* Share common testsMiodrag Milanovic2019-10-18103-1316/+178
* fix yosys pathMiodrag Milanovic2019-10-181-2/+2
* Fix path to yosysMiodrag Milanovic2019-10-185-5/+5
* Moved all tests in arch sub directoryMiodrag Milanovic2019-10-18150-0/+3548
* Add simcells.v, simlib.v, and some outputEddie Hung2019-06-271-1/+11
* tests: Check that Icarus can parse arch sim modelsDavid Shah2019-06-261-0/+8