Commit message (Collapse) | Author | Age | Files | Lines | ||
---|---|---|---|---|---|---|
... | ||||||
| * | | | xilinx: cleanup DSP48E1 handling for abc9 | Eddie Hung | 2020-03-04 | 3 | -86/+125 | |
| | | | | ||||||
| * | | | xilinx: improve specify for DSP48E1 | Eddie Hung | 2020-03-04 | 1 | -32/+116 | |
| | | | | ||||||
| * | | | xilinx: missing DSP48E1.PCIN timing from abc9_{map,model}.v | Eddie Hung | 2020-03-04 | 2 | -5/+14 | |
| | | | | ||||||
* | | | | Merge pull request #1691 from ZirconiumX/use-flowmap-in-noabc | N. Engelhardt | 2020-03-03 | 2 | -6/+39 | |
|\ \ \ \ | |/ / / |/| | | | Add -flowmap option to `synth{,_ice40}` | |||||
| * | | | Add -flowmap to synth and synth_ice40 | Dan Ravensloft | 2020-02-28 | 2 | -6/+39 | |
| | | | | ||||||
* | | | | Merge pull request #1724 from YosysHQ/eddie/abc9_specify | Eddie Hung | 2020-03-02 | 30 | -1440/+2803 | |
|\ \ \ \ | | | | | | | | | | | abc9: auto-generate *.lut/*.box files and arrival/required times from specify entries | |||||
| * | | | | Remove RAMB{18,36}E1 from cells_xtra.py | Eddie Hung | 2020-02-27 | 1 | -2/+2 | |
| | | | | | ||||||
| * | | | | xilinx: Update RAMB* specify entries | Eddie Hung | 2020-02-27 | 1 | -11/+42 | |
| | | | | | ||||||
| * | | | | ice40: add delays to SB_CARRY | Eddie Hung | 2020-02-27 | 1 | -0/+30 | |
| | | | | | ||||||
| * | | | | xilinx: add delays to INV | Eddie Hung | 2020-02-27 | 1 | -0/+3 | |
| | | | | | ||||||
| * | | | | More +/ice40/cells_sim.v fixes | Eddie Hung | 2020-02-27 | 1 | -27/+27 | |
| | | | | | ||||||
| * | | | | Make +/xilinx/cells_sim.v legal | Eddie Hung | 2020-02-27 | 1 | -76/+78 | |
| | | | | | ||||||
| * | | | | Get rid of (* abc9_{arrival,required} *) entirely | Eddie Hung | 2020-02-27 | 3 | -530/+496 | |
| | | | | | ||||||
| * | | | | abc9_ops: use TimingInfo for -prep_{lut,box} too | Eddie Hung | 2020-02-27 | 1 | -7/+10 | |
| | | | | | ||||||
| * | | | | Expand +/xilinx/cells_sim.v to keep ICARUS and non -specify paresr happy | Eddie Hung | 2020-02-27 | 1 | -14/+12 | |
| | | | | | ||||||
| * | | | | ice40: fix specify for inverted clocks | Eddie Hung | 2020-02-27 | 1 | -27/+27 | |
| | | | | | ||||||
| * | | | | Fix tests by gating some specify constructs from iverilog | Eddie Hung | 2020-02-27 | 1 | -0/+16 | |
| | | | | | ||||||
| * | | | | abc9_ops: ignore (* abc9_flop *) if not '-dff' | Eddie Hung | 2020-02-27 | 1 | -2/+6 | |
| | | | | | ||||||
| * | | | | ice40: specify fixes | Eddie Hung | 2020-02-27 | 3 | -66/+66 | |
| | | | | | ||||||
| * | | | | ice40: move over to specify blocks for -abc9 | Eddie Hung | 2020-02-27 | 10 | -164/+1344 | |
| | | | | | ||||||
| * | | | | synth_ecp5: use +/abc9_model.v | Eddie Hung | 2020-02-27 | 1 | -1/+1 | |
| | | | | | ||||||
| * | | | | Update xilinx for ABC9 | Eddie Hung | 2020-02-27 | 3 | -20/+16 | |
| | | | | | ||||||
| * | | | | Create +/abc9_model.v for $__ABC9_{DELAY,FF_} | Eddie Hung | 2020-02-27 | 2 | -0/+11 | |
| | | | | | ||||||
| * | | | | ecp5: remove small LUT entries | Eddie Hung | 2020-02-27 | 1 | -24/+6 | |
| | | | | | ||||||
| * | | | | Fix commented out specify statement | Eddie Hung | 2020-02-27 | 1 | -6/+6 | |
| | | | | | ||||||
| * | | | | xilinx: improve specify functionality | Eddie Hung | 2020-02-27 | 5 | -446/+519 | |
| | | | | | ||||||
| * | | | | ecp5: deprecate abc9_{arrival,required} and *.{lut,box} | Eddie Hung | 2020-02-27 | 7 | -86/+120 | |
| | | | | | ||||||
| * | | | | xilinx: use specify blocks in place of abc9_{arrival,required} | Eddie Hung | 2020-02-27 | 1 | -176/+404 | |
| | | | | | ||||||
| * | | | | Auto-generate .box/.lut files from specify blocks | Eddie Hung | 2020-02-27 | 7 | -426/+151 | |
| | | | | | ||||||
| * | | | | abc9_ops: -prep_box, to be called once | Eddie Hung | 2020-02-27 | 1 | -1/+1 | |
| | | | | | ||||||
| * | | | | abc9_ops: -prep_lut and -write_lut to auto-generate LUT library | Eddie Hung | 2020-02-27 | 2 | -4/+85 | |
| | | | | | ||||||
* | | | | | coolrunner2: Attempt to give wires/cells more meaningful names | R. Ou | 2020-03-02 | 2 | -23/+66 | |
| | | | | | ||||||
* | | | | | coolrunner2: Fix invalid multiple fanouts of XOR/OR gates | R. Ou | 2020-03-02 | 1 | -0/+96 | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | In some cases where multiple output pins share identical combinatorial logic, yosys would only generate one $sop cell and therefore one MACROCELL_XOR cell to try to feed the multiple sinks. This is not valid, so make the fixup pass duplicate cells when necessary. For example, fixes the following code: module top(input a, input b, input clk_, output reg o, output o2); wire clk; BUFG bufg0 ( .I(clk_), .O(clk), ); always @(posedge clk) o = a ^ b; assign o2 = a ^ b; endmodule | |||||
* | | | | | coolrunner2: Fix packed register+input buffer insertion | R. Ou | 2020-03-02 | 1 | -2/+84 | |
| | | | | | | | | | | | | | | | | | | | | | | | | | The register will be packed with the input buffer if and only if the input buffer doesn't have any other loads. | |||||
* | | | | | coolrunner2: Insert many more required feedthrough cells | R. Ou | 2020-03-01 | 3 | -102/+215 | |
|/ / / / | ||||||
* | | | | Merge pull request #1709 from rqou/coolrunner2_counter | Claire Wolf | 2020-02-27 | 3 | -0/+165 | |
|\ \ \ \ | | | | | | | | | | | Improve CoolRunner-II optimization by using extract_counter pass | |||||
| * | | | | coolrunner2: Use extract_counter to optimize counters | R. Ou | 2020-02-17 | 3 | -0/+165 | |
| | |/ / | |/| | | | | | | | | | | | | | | This tends to make much more efficient pterm usage compared to just throwing the problem at ABC | |||||
* | | | | Merge pull request #1708 from rqou/coolrunner2-buf-fix | Claire Wolf | 2020-02-27 | 4 | -54/+163 | |
|\ \ \ \ | | | | | | | | | | | coolrunner2: Separate and improve buffer cell insertion pass | |||||
| * | | | | coolrunner2: Separate and improve buffer cell insertion pass | R. Ou | 2020-02-16 | 4 | -54/+163 | |
| |/ / / | | | | | | | | | | | | | | | | | | | | | | | | | The new pass will contain all of the logic for inserting "passthrough" product term and XOR cells as appropriate for the architecture. For example, this commit fixes connecting an input pin directly to another output pin with no logic in between. | |||||
* / / / | xilinx: mark IOBUFDSE3 IOB pin as external | Piotr Binkowski | 2020-02-27 | 2 | -1/+2 | |
|/ / / | ||||||
* | | | Remove executable flag from files | Miodrag Milanovic | 2020-02-15 | 5 | -0/+0 | |
| | | | ||||||
* | | | abc9: deprecate abc9_ff.init wire for (* abc9_init *) attr | Eddie Hung | 2020-02-13 | 1 | -11/+12 | |
| | | | ||||||
* | | | abc9: cleanup | Eddie Hung | 2020-02-10 | 1 | -40/+40 | |
|/ / | ||||||
* | | Remove unnecessary comma | Eddie Hung | 2020-02-07 | 1 | -3/+2 | |
| | | ||||||
* | | techmap: fix shiftx2mux decomposition | Eddie Hung | 2020-02-07 | 1 | -8/+6 | |
| | | ||||||
* | | xilinx: Add support for LUT RAM on LUT4-based devices. | Marcin Kościelnicki | 2020-02-07 | 4 | -27/+22 | |
| | | | | | | | | | | | | | | There are multiple other kinds of RAMs supported on these devices, but RAM16X1D is the only dual-port one. Fixes #1549 | |||||
* | | xilinx: Initial support for LUT4 devices. | Marcin Kościelnicki | 2020-02-07 | 3 | -53/+152 | |
| | | | | | | | | | | | | | | Adds support for mapping logic, including LUTs, wide LUTs, and carry chains. Fixes #1547 | |||||
* | | Merge pull request #1685 from dh73/gowin | Eddie Hung | 2020-02-06 | 1 | -1/+1 | |
|\ \ | | | | | | | Removing cells_sim from GoWin bram techmap | |||||
| * | | Removing cells_sim.v from bram techmap pass | Diego H | 2020-02-06 | 1 | -1/+1 | |
| |/ | ||||||
* | | xilinx: Add block RAM mapping for Virtex 2* and Spartan 3*. | Marcin Kościelnicki | 2020-02-07 | 11 | -1/+370 | |
| | |