Commit message (Collapse) | Author | Age | Files | Lines | |
---|---|---|---|---|---|
* | xilinx: Add some missing blackbox cells. | Marcelina Kościelnicka | 2020-12-21 | 3 | -798/+6276 |
| | |||||
* | xilinx: Regenerate cells_xtra.v using Vivado 2020.2 | Marcelina Kościelnicka | 2020-12-21 | 2 | -42/+49 |
| | |||||
* | xilinx: Add FDDRCPE and FDDRRSE blackbox cells. | Marcelina Kościelnicka | 2020-12-17 | 2 | -0/+33 |
| | | | | | These are necessary primitives for proper DDR support on Virtex 2 and Spartan 3. | ||||
* | nexus: More efficient CO mapping | David Shah | 2020-12-02 | 1 | -2/+2 |
| | | | | Signed-off-by: David Shah <dave@ds0.me> | ||||
* | add -noalu and -json option for apicula | Pepijn de Vos | 2020-11-30 | 1 | -3/+32 |
| | |||||
* | nexus: DSP inference support | David Shah | 2020-11-20 | 3 | -1/+117 |
| | | | | Signed-off-by: David Shah <dave@ds0.me> | ||||
* | Merge pull request #2441 from YosysHQ/dave/nexus_dsp_sim | Miodrag Milanović | 2020-11-18 | 3 | -250/+573 |
|\ | | | | | nexus: Add DSP simulation model | ||||
| * | nexus: Add DSP simulation model | David Shah | 2020-11-18 | 3 | -250/+573 |
| | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | ||||
* | | Fix duplicated parameter name typo | Miodrag Milanovic | 2020-11-18 | 1 | -1/+1 |
| | | |||||
* | | synth_gowin: Add rPLL blackbox | Konrad Beckmann | 2020-11-11 | 1 | -0/+45 |
|/ | |||||
* | nexus: Add make_transp to BRAMs | David Shah | 2020-10-22 | 1 | -0/+3 |
| | | | | Signed-off-by: David Shah <dave@ds0.me> | ||||
* | Merge pull request #2405 from byuccl/fix_xilinx_cells | clairexen | 2020-10-20 | 1 | -2/+2 |
|\ | | | | | xilinx/cells_sim.v: Move signal declaration to before first use | ||||
| * | Move signal declarations to before first use | Jeff Goeders | 2020-10-19 | 1 | -2/+2 |
| | | | | | | | | Signed-off-by: Jeff Goeders <jeff.goeders@gmail.com> | ||||
* | | synth_nexus: Initial implementation | David Shah | 2020-10-15 | 14 | -0/+12229 |
|/ | | | | Signed-off-by: David Shah <dave@ds0.me> | ||||
* | xilinx: do not make DSP48E1 a whitebox for ABC9 by default (#2325) | Eddie Hung | 2020-09-23 | 2 | -17/+65 |
| | | | | | | | | | | | * xilinx: eliminate SCCs from DSP48E1 model * xilinx: add SCC test for DSP48E1 * Update techlibs/xilinx/cells_sim.v * xilinx: Gate DSP48E1 being a whitebox behind ALLOW_WHITEBOX_DSP48E1 Have a test that checks it works through ABC9 when enabled | ||||
* | intel_alm: better map wide but shallow multiplies | Dan Ravensloft | 2020-08-28 | 1 | -2/+6 |
| | |||||
* | intel_alm: Add multiply signedness to cells | Dan Ravensloft | 2020-08-26 | 5 | -10/+103 |
| | | | | | | Quartus assumes unsigned multiplication by default, breaking signed multiplies, so add an input signedness parameter to the MISTRAL_MUL* cells to propagate to Quartus' <family>_mac cells. | ||||
* | synth_intel: Remove incomplete Arria 10 GX support. | Marcelina Kościelnicka | 2020-08-21 | 5 | -192/+4 |
| | | | | | | The techmap rules for this target do not work in the first place (note lack of >2-input LUT mappings), and if proper support is ever added, it'd be better placed in the synth_intel_alm backend. | ||||
* | intel: move Cyclone V support to intel_alm | Dan Ravensloft | 2020-08-20 | 7 | -203/+11 |
| | |||||
* | Merge pull request #2347 from YosysHQ/mwk/techmap-shift-fixes | clairexen | 2020-08-20 | 1 | -67/+35 |
|\ | | | | | techmap/shift_shiftx: Remove the "shiftx2mux" special path. | ||||
| * | techmap/shift_shiftx: Remove the "shiftx2mux" special path. | Marcelina Kościelnicka | 2020-08-20 | 1 | -67/+35 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | Our techmap rules for $shift and $shiftx cells contained a special path that aimed to decompose the shift LSB-first instead of MSB-first in select cases that come up in pmux lowering. This path was needlessly overcomplicated and contained bugs. Instead of doing that, just switch over the main path to iterate LSB-first (except for the specially-handled MSB for signed shifts and overflow handling). This also makes the code consistent with shl/shr/sshl/sshr cells, which are already decomposed LSB-first. Fixes #2346. | ||||
* | | Merge pull request #2319 from YosysHQ/mwk/techmap-celltype-pattern | clairexen | 2020-08-20 | 2 | -4/+4 |
|\ \ | |/ |/| | techmap: Add support for [] wildcards in techmap_celltype. | ||||
| * | techmap: Add support for [] wildcards in techmap_celltype. | Marcelina Kościelnicka | 2020-08-02 | 2 | -4/+4 |
| | | | | | | | | Fixes #1826. | ||||
* | | Respect \A_SIGNED for $shift | Xiretza | 2020-08-18 | 2 | -6/+16 |
| | | | | | | | | | | | | This reflects the behaviour of $shr/$shl, which sign-extend their A operands to the size of their output, then do a logical shift (shift in 0-bits). | ||||
* | | intel_alm: fix typo in MISTRAL_MUL27X27 cell name | Dan Ravensloft | 2020-08-13 | 1 | -1/+1 |
| | | |||||
* | | intel_alm: add more megafunctions. NFC. | Dan Ravensloft | 2020-08-12 | 1 | -0/+431 |
| | | |||||
* | | Replace opt_rmdff with opt_dff. | Marcelina Kościelnicka | 2020-08-07 | 7 | -29/+26 |
|/ | |||||
* | opt_expr: Remove -clkinv option, make it the default. | Marcelina Kościelnicka | 2020-07-31 | 2 | -2/+2 |
| | | | | | Adds -noclkinv option just in case the old behavior was actually useful to someone. | ||||
* | synth_ice40: Use opt_dff. | Marcelina Kościelnicka | 2020-07-30 | 4 | -142/+6 |
| | | | | | | | | | The main part is converting ice40_dsp to recognize the new FF types created in opt_dff instead of trying to recognize the mux patterns on its own. The fsm call has been moved upwards because the passes cannot deal with $dffe/$sdff*, and other optimizations don't help it much anyway. | ||||
* | synth_xilinx: Use opt_dff. | Marcelina Kościelnicka | 2020-07-30 | 1 | -17/+12 |
| | | | | | | | | | The main part is converting xilinx_dsp to recognize the new FF types created in opt_dff instead of trying to recognize the patterns on its own. The fsm call has been moved upwards because the passes cannot deal with $dffe/$sdff*, and other optimizations don't help it much anyway. | ||||
* | intel_alm: direct M10K instantiation | Dan Ravensloft | 2020-07-27 | 7 | -39/+127 |
| | | | | This reverts commit a3a90f6377f251d3b6c5898eb1543f8832493bb8. | ||||
* | intel_alm: increase abc9 -W | Dan Ravensloft | 2020-07-26 | 1 | -1/+1 |
| | |||||
* | Merge pull request #2294 from Ravenslofty/intel_alm_timings | clairexen | 2020-07-23 | 4 | -72/+91 |
|\ | | | | | intel_alm: add additional ABC9 timings | ||||
| * | intel_alm: add additional ABC9 timings | Dan Ravensloft | 2020-07-23 | 4 | -72/+91 |
| | | |||||
* | | Remove EXPLICIT_CARRY logic. | Keith Rothman | 2020-07-23 | 3 | -150/+2 |
|/ | | | | | | | The symbiflow-arch-defs tool chain no longer needs the EXPLICIT_CARRY within yosys itself. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com> | ||||
* | sf2: Emit CLKINT even if -clkbuf not passed | Marcelina Kościelnicka | 2020-07-17 | 1 | -2/+6 |
| | | | | This restores pre #2229 behavior. | ||||
* | Merge pull request #2274 from YosysHQ/mwk/anlogic-ff-fix | Miodrag Milanović | 2020-07-17 | 1 | -12/+12 |
|\ | | | | | anlogic: Fix FF mapping. | ||||
| * | anlogic: Fix FF mapping. | Marcelina Kościelnicka | 2020-07-17 | 1 | -12/+12 |
| | | |||||
* | | Merge pull request #2229 from Ravenslofty/sf2_remove_sf2_iobs | clairexen | 2020-07-16 | 4 | -214/+135 |
|\ \ | |/ |/| | sf2: replace sf2_iobs with {clkbuf,iopad}map | ||||
| * | sf2: replace sf2_iobs with {clkbuf,iopad}map | Dan Ravensloft | 2020-07-09 | 4 | -214/+135 |
| | | |||||
* | | Merge pull request #2238 from YosysHQ/mwk/dfflegalize-anlogic | Miodrag Milanović | 2020-07-16 | 3 | -50/+35 |
|\ \ | | | | | | | anlogic: Use dfflegalize. | ||||
| * | | anlogic: Use dfflegalize. | Marcelina Kościelnicka | 2020-07-14 | 3 | -50/+35 |
| |/ | |||||
* | | Merge pull request #2226 from YosysHQ/mwk/nuke-efinix-gbuf | Miodrag Milanović | 2020-07-16 | 5 | -122/+11 |
|\ \ | | | | | | | efinix: Nuke efinix_gbuf in favor of clkbufmap. | ||||
| * | | efinix: Nuke efinix_gbuf in favor of clkbufmap. | Marcelina Kościelnicka | 2020-07-04 | 5 | -122/+11 |
| | | | |||||
* | | | achronix: Use dfflegalize. | Marcelina Kościelnicka | 2020-07-14 | 1 | -1/+1 |
| | | | |||||
* | | | intel: Use dfflegalize. | Marcelina Kościelnicka | 2020-07-13 | 8 | -178/+17 |
| | | | |||||
* | | | Revert "intel_alm: direct M10K instantiation" | Lofty | 2020-07-13 | 7 | -122/+38 |
| | | | | | | | | | | | | This reverts commit 09ecb9b2cf3ab76841d30712bf70dafc6d47ef67. | ||||
* | | | xilinx: Fix srl regression. | Marcelina Kościelnicka | 2020-07-12 | 1 | -2/+2 |
| | | | | | | | | | | | | | | | | | | | | | Of standard yosys cells, xilinx_srl only works on $_DFF_?_ and $_DFFE_?P_, which get upgraded to $_SDFFE_?P?P_ by dfflegalize at the point where xilinx_srl is called for non-abc9. Fix this by running ff_map.v first, resulting in FDRE cells, which are handled correctly. | ||||
* | | | sf2: Use dfflegalize. | Marcelina Kościelnicka | 2020-07-09 | 2 | -44/+13 |
| | | | |||||
* | | | xilinx: Use dfflegalize. | Marcelina Kościelnicka | 2020-07-09 | 6 | -484/+131 |
| | | |