aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Replace opt_rmdff with opt_dff.Marcelina Kościelnicka2020-08-077-29/+26
* opt_expr: Remove -clkinv option, make it the default.Marcelina Kościelnicka2020-07-312-2/+2
* synth_ice40: Use opt_dff.Marcelina Kościelnicka2020-07-304-142/+6
* synth_xilinx: Use opt_dff.Marcelina Kościelnicka2020-07-301-17/+12
* intel_alm: direct M10K instantiationDan Ravensloft2020-07-277-39/+127
* intel_alm: increase abc9 -WDan Ravensloft2020-07-261-1/+1
* Merge pull request #2294 from Ravenslofty/intel_alm_timingsclairexen2020-07-234-72/+91
|\
| * intel_alm: add additional ABC9 timingsDan Ravensloft2020-07-234-72/+91
* | Remove EXPLICIT_CARRY logic.Keith Rothman2020-07-233-150/+2
|/
* sf2: Emit CLKINT even if -clkbuf not passedMarcelina Kościelnicka2020-07-171-2/+6
* Merge pull request #2274 from YosysHQ/mwk/anlogic-ff-fixMiodrag Milanović2020-07-171-12/+12
|\
| * anlogic: Fix FF mapping.Marcelina Kościelnicka2020-07-171-12/+12
* | Merge pull request #2229 from Ravenslofty/sf2_remove_sf2_iobsclairexen2020-07-164-214/+135
|\ \ | |/ |/|
| * sf2: replace sf2_iobs with {clkbuf,iopad}mapDan Ravensloft2020-07-094-214/+135
* | Merge pull request #2238 from YosysHQ/mwk/dfflegalize-anlogicMiodrag Milanović2020-07-163-50/+35
|\ \
| * | anlogic: Use dfflegalize.Marcelina Kościelnicka2020-07-143-50/+35
| |/
* | Merge pull request #2226 from YosysHQ/mwk/nuke-efinix-gbufMiodrag Milanović2020-07-165-122/+11
|\ \
| * | efinix: Nuke efinix_gbuf in favor of clkbufmap.Marcelina Kościelnicka2020-07-045-122/+11
* | | achronix: Use dfflegalize.Marcelina Kościelnicka2020-07-141-1/+1
* | | intel: Use dfflegalize.Marcelina Kościelnicka2020-07-138-178/+17
* | | Revert "intel_alm: direct M10K instantiation"Lofty2020-07-137-122/+38
* | | xilinx: Fix srl regression.Marcelina Kościelnicka2020-07-121-2/+2
* | | sf2: Use dfflegalize.Marcelina Kościelnicka2020-07-092-44/+13
* | | xilinx: Use dfflegalize.Marcelina Kościelnicka2020-07-096-484/+131
* | | efinix: Use dfflegalize.Marcelina Kościelnicka2020-07-062-15/+53
* | | gowin: Use dfflegalize.Marcelina Kościelnicka2020-07-062-145/+41
* | | intel_alm: direct M10K instantiationDan Ravensloft2020-07-057-38/+122
* | | synth_gowin: ABC9 supportDan Ravensloft2020-07-052-34/+340
* | | Merge pull request #2236 from YosysHQ/mwk/dfflegalize-ice40Marcelina Kościelnicka2020-07-054-208/+24
|\ \ \
| * | | ice40: Use dfflegalize.Marcelina Kościelnicka2020-07-054-208/+24
* | | | ecp5: Use dfflegalize.Marcelina Kościelnicka2020-07-054-254/+96
* | | | Merge pull request #2232 from YosysHQ/mwk/gowin-sim-initMarcelina Kościelnicka2020-07-051-8/+8
|\ \ \ \
| * | | | gowin: Fix INIT values in sim library.Marcelina Kościelnicka2020-07-051-8/+8
| | |/ / | |/| |
* | | | intel_alm: DSP inferenceDan Ravensloft2020-07-056-9/+186
| |/ / |/| |
* | | gowin: replace determine_init with setundefDan Ravensloft2020-07-043-74/+1
* | | synth_intel_alm: Use dfflegalize.Marcelina Kościelnicka2020-07-042-121/+9
|/ /
* | Improve MISTRAL_FF specify rulesDan Ravensloft2020-07-041-5/+4
* | intel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FFEddie Hung2020-07-042-47/+2
* | intel_alm: add $__ prefix to MISTRAL_FF_SYNCONLYEddie Hung2020-07-044-4/+4
* | intel_alm: ABC9 sequential optimisationsDan Ravensloft2020-07-047-19/+149
|/
* simcells: Fix reset polarity for $_DLATCH_???_ cells.Marcelina Kościelnicka2020-06-302-5/+5
* Update dff2dffe, dff2dffs, zinit to new FF types.Marcelina Kościelnicka2020-06-2316-128/+128
* Add new FF types to simplemap.Marcelina Kościelnicka2020-06-231-1/+1
* Add new builtin FF typesMarcelina Kościelnicka2020-06-233-0/+2293
* Use C++11 final/override keywords.whitequark2020-06-1831-95/+95
* Fix Verilator sim warnings: 1 BLKSEQ and 3 WIDTHXark2020-06-141-7/+7
* intel_alm: fix DFFE matchingDan Ravensloft2020-06-111-1/+1
* Do not optimize away FFs in "prep" and Verific fron-endClaire Wolf2020-06-091-2/+2
* Merge pull request #2077 from YosysHQ/eddie/abc9_dff_improveEddie Hung2020-06-041-1/+1
|\
| * abc9_ops: -reintegrate use SigMap to remove (* init *) from $_DFF_[NP]_Eddie Hung2020-05-291-1/+1