aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Change how to specify flops to ABC againEddie Hung2019-07-101-13/+37
* Remove params from FD*_1 variantsEddie Hung2019-07-101-12/+3
* Fix typo, and have !{PRE,CLR} behave as CEEddie Hung2019-07-101-14/+14
* Move ABC FF stuff to abc_ff.v; add support for other FD* typesEddie Hung2019-07-104-27/+135
* Uncomment IS_C_INVERTED parameterEddie Hung2019-07-101-1/+1
* synth_xilinx's map_cells stage to techmap ff_map.vEddie Hung2019-07-101-0/+2
* Fix box numberingEddie Hung2019-07-102-5/+5
* Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-109-77/+457
|\
| * Merge pull request #1180 from YosysHQ/eddie/no_abc9_retimeEddie Hung2019-07-103-6/+15
| |\
| | * Error out if -abc9 and -retime specifiedEddie Hung2019-07-103-6/+15
| * | Merge pull request #1148 from YosysHQ/xc7muxEddie Hung2019-07-106-49/+414
| |\ \ | | |/ | |/|
| | * Add some spacingEddie Hung2019-07-101-9/+9
| | * Add some ASCII art explaining mux decompositionEddie Hung2019-07-101-0/+21
| | * Call muxpack and pmux2shiftx before cmp2lutEddie Hung2019-07-091-9/+12
| | * Restore opt_clean back to original placeEddie Hung2019-07-091-2/+1
| | * Restore missing techmap -map +/cmp2lut.v with LUT_WIDTH=6Eddie Hung2019-07-091-0/+2
| | * Extend using A[1] to preserve don't careEddie Hung2019-07-091-1/+9
| | * Extend during mux decomposition with 1'bxEddie Hung2019-07-091-24/+3
| | * Fix typo and commentsEddie Hung2019-07-091-4/+4
| | * Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-07-092-19/+28
| | |\
| | * | synth_xilinx to call commands of synth -coarse directlyEddie Hung2019-07-091-3/+20
| | * | Revert "synth_xilinx to call "synth -run coarse" with "-keepdc""Eddie Hung2019-07-091-2/+2
| | * | Fix spacingEddie Hung2019-07-091-1/+1
| | * | Fix spacingEddie Hung2019-07-091-1/+1
| | * | Decompose mux inputs in delay-orientated (rather than area) fashionEddie Hung2019-07-081-18/+30
| | * | Do not call opt -mux_undef (part of -full) before muxcoverEddie Hung2019-07-081-1/+5
| | * | Add one more commentEddie Hung2019-07-081-0/+3
| | * | Less thinkingEddie Hung2019-07-081-3/+3
| | * | RewordEddie Hung2019-07-081-2/+2
| | * | synth_xilinx to call "synth -run coarse" with "-keepdc"Eddie Hung2019-07-081-2/+2
| | * | Merge remote-tracking branch 'origin/eddie/synth_keepdc' into xc7muxEddie Hung2019-07-081-2/+13
| | |\ \
| | * | | Map $__XILINX_SHIFTX in a more balanced mannerEddie Hung2019-07-081-36/+49
| | * | | CapitalisationEddie Hung2019-07-081-1/+1
| | * | | Add synth_xilinx -widemux recommended valueEddie Hung2019-07-081-1/+1
| | * | | Fixes for 2:1 muxesEddie Hung2019-07-082-5/+30
| | * | | synth_xilinx -widemux=2 is minimum nowEddie Hung2019-07-081-4/+7
| | * | | Parametric muxcover costs as per @daveshah1Eddie Hung2019-07-081-16/+14
| | * | | atoi -> stoi as per @daveshah1Eddie Hung2019-07-081-1/+1
| | * | | Fix $__XILINX_MUXF78 box timingEddie Hung2019-07-011-1/+1
| | * | | Revert "Fix broken MUXFx box, use MUXF7x2 box instead"Eddie Hung2019-07-013-37/+36
| | * | | Fix broken MUXFx box, use MUXF7x2 box insteadEddie Hung2019-07-013-36/+37
| | * | | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-06-293-16/+9
| | |\ \ \
| | * | | | Restore $__XILINX_MUXF78 const optimisationEddie Hung2019-06-281-24/+24
| | * | | | Clean up trimming leading 1'bx in A during techmappnigEddie Hung2019-06-281-15/+9
| | * | | | Fix CARRY4 abc_box_idEddie Hung2019-06-281-1/+1
| | * | | | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-06-287-26/+13
| | |\ \ \ \
| | * \ \ \ \ Merge remote-tracking branch 'origin/xaig' into xc7muxEddie Hung2019-06-271-7/+10
| | |\ \ \ \ \
| | * | | | | | MUXF78 -> $__MUXF78 to indicate internalEddie Hung2019-06-261-1/+1
| | * | | | | | Merge remote-tracking branch 'origin/xaig' into xc7muxEddie Hung2019-06-264-8/+10
| | |\ \ \ \ \ \
| | * | | | | | | synth_xilinx's muxcover call to be very conservative -- -nodecodeEddie Hung2019-06-261-1/+1