aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx
Commit message (Expand)AuthorAgeFilesLines
* xilinx: Improve flip-flop handling.Marcin Kościelnicki2019-12-184-38/+228
* Merge pull request #1574 from YosysHQ/eddie/xilinx_lutramEddie Hung2019-12-163-12/+301
|\
| * Merge branch 'eddie/xilinx_lutram' of github.com:YosysHQ/yosys into eddie/xil...Eddie Hung2019-12-161-2/+8
| |\
| | * Populate DID/DOD even if unusedEddie Hung2019-12-161-2/+8
| * | Rename *RAM{32,64}M rules to RAM{32X2,64X1}QEddie Hung2019-12-162-6/+6
| |/
| * Disable RAM16X1D match rule; carry-over from LUT4 archesEddie Hung2019-12-131-6/+9
| * RAM64M8 to also have [5:0] for addressEddie Hung2019-12-131-8/+8
| * Add RAM32X6SDP and RAM64X3SDP modesEddie Hung2019-12-122-8/+120
| * Fix RAM64M model to have 6 bit address busEddie Hung2019-12-121-4/+4
| * Add memory rules for RAM16X1D, RAM32M, RAM64MEddie Hung2019-12-122-0/+168
* | Add unconditional match blocks for force RAMEddie Hung2019-12-161-4/+36
* | Update xc7/xcu bram rulesEddie Hung2019-12-161-8/+4
* | Removing fixed attribute value to !ramstyle rulesDiego H2019-12-151-4/+4
* | Merging attribute rules into a single match block; Adding testsDiego H2019-12-151-18/+12
* | Refactoring memory attribute matching based on IEEE 1364.1 and Tool specificDiego H2019-12-131-0/+19
* | Merge pull request #1533 from dh73/bram_xilinxEddie Hung2019-12-131-6/+9
|\ \ | |/ |/|
| * Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.Diego H2019-12-121-5/+5
| * Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1Diego H2019-12-121-2/+2
| * Merge https://github.com/YosysHQ/yosys into bram_xilinxDiego H2019-12-125-633/+868
| |\
| * | Adjusting Vivado's BRAM min bits threshold for RAMB18E1Diego H2019-11-271-2/+5
* | | abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
| |/ |/|
* | xilinx: Add tristate buffer mapping. (#1528)Marcin Kościelnicki2019-12-042-9/+16
* | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-043-624/+831
* | xilinx: Add missing blackbox cell for BUFPLL.Marcin Kościelnicki2019-11-292-0/+21
|/
* xilinx: Add simulation models for IOBUF and OBUFT.Marcin Kościelnicki2019-11-263-25/+30
* clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-1/+5
* xilinx: Use INV instead of LUT1 when applicableMarcin Kościelnicki2019-11-251-2/+6
* xilinx: Add simulation models for MULT18X18* and DSP48A*.Marcin Kościelnicki2019-11-193-132/+516
* synth_xilinx: Merge blackbox primitive libraries.Marcin Kościelnicki2019-11-0611-23234/+29820
* xilinx: Add URAM288 mapping for xcupDavid Shah2019-10-235-2/+92
* xilinx: Add support for UltraScale[+] BRAM mappingDavid Shah2019-10-237-416/+1062
* xilinx: Support multiplier mapping for all families.Marcin Kościelnicki2019-10-229-9/+269
* Merge pull request #1452 from nakengelhardt/fix_dsp_mem_regClifford Wolf2019-10-221-0/+1
|\
| * Call memory_dff before DSP mapping to reserve registers (fixes #1447)N. Engelhardt2019-10-171-0/+1
* | Makefile: don't assume python is called `python3`Sean Cross2019-10-191-1/+1
|/
* xilinx: Add simulation model for IBUFG.Marcin Kościelnicki2019-10-105-33/+14
* Merge pull request #1437 from YosysHQ/eddie/abc_to_abc9Eddie Hung2019-10-0811-112/+121
|\
| * Merge branch 'master' into eddie/abc_to_abc9Eddie Hung2019-10-044-181/+9
| |\
| * | Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-0411-111/+120
* | | Add comment on why partial multipliers are 18x18Eddie Hung2019-10-041-4/+8
* | | Fix typo in check_label()Eddie Hung2019-10-041-1/+1
| |/ |/|
* | Add temporary `abc9 -nomfs` and use for `synth_xilinx -abc9`Eddie Hung2019-10-041-2/+6
* | Remove DSP48E1 from *_cells_xtra.vEddie Hung2019-10-043-178/+2
|/
* Add LDCE/LDPE sim library, remove from *cells_xtra.{v,py}Eddie Hung2019-09-306-122/+46
* synth_xilinx: Support latches, remove used-up FF init values.Marcin Kościelnicki2019-09-302-2/+76
* Merge pull request #1359 from YosysHQ/xc7dspEddie Hung2019-09-2911-21/+3000
|\
| * Re-orderEddie Hung2019-09-271-1/+1
| * TypoEddie Hung2019-09-261-1/+1
| * select onceEddie Hung2019-09-261-3/+5
| * Stop trying to be too smart by prematurely optimisingEddie Hung2019-09-261-1/+3