aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common
Commit message (Expand)AuthorAgeFilesLines
* Use C++11 final/override keywords.whitequark2020-06-182-8/+8
* Do not optimize away FFs in "prep" and Verific fron-endClaire Wolf2020-06-091-2/+2
* Merge pull request #2077 from YosysHQ/eddie/abc9_dff_improveEddie Hung2020-06-041-1/+1
|\
| * abc9_ops: -reintegrate use SigMap to remove (* init *) from $_DFF_[NP]_Eddie Hung2020-05-291-1/+1
* | Add flooring division operatorXiretza2020-05-282-0/+71
* | Add flooring modulo operatorXiretza2020-05-282-3/+124
|/
* Add force_downto and force_upto wire attributes.Marcelina Kościelnicka2020-05-196-23/+88
* abc9: use (* abc9_keep *) instead of (* abc9_scc *); apply to $_DFF_?_Eddie Hung2020-05-142-14/+2
* abc9: preserve $_DFF_?_.Q's (* init *); rely on clean to remove itEddie Hung2020-05-142-5/+4
* abc9_ops/xaiger: further reducing Module::derive() calls by ...Eddie Hung2020-05-142-7/+5
* Cleanup; reduce Module::derive() callsEddie Hung2020-05-142-4/+4
* abc9: only do +/abc9_map if `DFFEddie Hung2020-05-141-0/+2
* abc9: not enough to techmap_fail on (* init=1 *), hide them using $__Eddie Hung2020-05-142-10/+26
* abc9: add flop boxes to basic $_DFF_P_ and $_DFF_N_ tooEddie Hung2020-05-144-0/+55
* abc9_ops: add 'dff' label for auto handling of (* abc9_flop *) boxesEddie Hung2020-05-141-3/+0
* techlibs/common: more robustness when *_WIDTH = 0Eddie Hung2020-05-052-7/+30
* Fix the truth table for $_SR_* cells.Marcelina Kościelnicka2020-04-153-26/+21
* Merge pull request #1648 from YosysHQ/eddie/cmp2lcuEddie Hung2020-04-034-11/+120
|\
| * cmp2lcu: rename _90_lcu_cmp -> _80_lcu_cmpEddie Hung2020-04-031-1/+1
| * cmp2lcu: fail if `LUT_WIDTH < 2Eddie Hung2020-04-031-1/+1
| * synth: only techmap cmp2{lut,lcu} if -lutEddie Hung2020-04-031-1/+1
| * synth: use +/cmp2lcu.v in generic 'synth' tooEddie Hung2020-04-031-2/+2
| * Cleanup +/cmp2lut.vEddie Hung2020-04-031-8/+0
| * +/cmp2lcu.v to work efficiently for fully/partially constant inputsEddie Hung2020-04-031-33/+42
| * Refactor +/cmp2lcu.v into recursive techmapEddie Hung2020-04-031-38/+65
| * CleanupEddie Hung2020-04-031-31/+28
| * Cleanup cmp2lcu.vEddie Hung2020-04-031-16/+16
| * techmap +/cmp2lcu.v for decomposing arithmetic compares to $lcuEddie Hung2020-04-032-0/+84
| * cmp2lut: comment out unused since 362f4f9Eddie Hung2020-04-031-8/+8
* | simcells.v: Generate the fine FF cell types by a python script.Marcin Kościelnicki2020-04-022-19/+270
|/
* Fix invalid verilog syntaxMiodrag Milanovic2020-03-141-1/+1
* Merge pull request #1691 from ZirconiumX/use-flowmap-in-noabcN. Engelhardt2020-03-031-2/+17
|\
| * Add -flowmap to synth and synth_ice40Dan Ravensloft2020-02-281-2/+17
* | Create +/abc9_model.v for $__ABC9_{DELAY,FF_}Eddie Hung2020-02-272-0/+11
|/
* techmap: fix shiftx2mux decompositionEddie Hung2020-02-071-8/+6
* shiftx2mux: fix select out of boundsEddie Hung2020-02-051-1/+2
* Merge remote-tracking branch 'origin/master' into eddie/shiftx2muxEddie Hung2020-02-052-2/+0
|\
| * abc9_ops: -write_box is empty, output a dummy box to prevent ABC errorEddie Hung2020-01-152-2/+0
* | Explicitly create separate $mux cellsEddie Hung2020-01-211-2/+2
* | Fix tests -- when Y_WIDTH is non-pow-2Eddie Hung2020-01-211-3/+4
* | Move from +/shiftx2mux.v into +/techmap.v; cleanupEddie Hung2020-01-213-73/+69
* | New techmap +/shiftx2mux.v which decomposes LSB first; better for ABCEddie Hung2020-01-212-0/+39
|/
* Do not map $eq and $ne in cmp2lut, only proper arithmetic cmpClifford Wolf2019-11-111-1/+1
* Makefile: don't assume python is called `python3`Sean Cross2019-10-191-2/+2
* Missing (* mul2dsp *) for sliceBEddie Hung2019-09-271-2/+2
* Stop trying to be too smart by prematurely optimisingEddie Hung2019-09-261-34/+6
* mul2dsp.v slice namesEddie Hung2019-09-251-5/+5
* Remove unnecessary check for A_SIGNED != B_SIGNED; be more explicitEddie Hung2019-09-251-3/+1
* Revert "Remove _TECHMAP_CELLTYPE_ check since all $mul"Eddie Hung2019-09-251-2/+6
* Revert "No need for $__mul anymore?"Eddie Hung2019-09-251-8/+8