aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Collapse)AuthorAgeFilesLines
...
| | * Merge SB_CARRY+SB_LUT4's attributes when creating $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+1
| | |
| | * ice40_wrapcarry to preserve SB_CARRY's attributesEddie Hung2019-12-031-0/+2
| | |
* | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-062-175/+137
|\| |
| * | iopadmap: Refactor and fix tristate buffer mapping. (#1527)Marcin Kościelnicki2019-12-041-146/+97
| |/ | | | | | | | | | | | | The previous code for rerouting wires when inserting tristate buffers was overcomplicated and didn't handle all cases correctly (in particular, only cell connections were rewired — internal connections were not).
| * abc9: Fix breaking of SCCsDavid Shah2019-12-011-29/+40
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Call abc9 with "&write -n", and parse_xaiger() to copeEddie Hung2019-12-061-2/+2
| |
* | Fix abc9 re-integration, remove abc9_control_wire, use cell->type asEddie Hung2019-12-061-39/+15
| | | | | | | | as part of clock domain for mergeability class
* | abc9 to do clock partitioning againEddie Hung2019-12-051-37/+144
| |
* | Remove clkpartEddie Hung2019-12-052-309/+0
| |
* | Add assertionEddie Hung2019-12-031-0/+1
| |
* | Add abc9_init wire, attach to abc9_flop cellEddie Hung2019-12-031-2/+12
| |
* | CleanupEddie Hung2019-12-011-3/+2
| |
* | Use pool instead of std::set for determinismEddie Hung2019-12-011-1/+1
| |
* | Use pool<> not std::set<> for determinismEddie Hung2019-12-011-4/+4
| |
* | Merge remote-tracking branch 'origin/eddie/submod_po' into xaig_dffEddie Hung2019-11-281-1/+1
|\ \
| * | Move \init signal for non-port signals as long as internally drivenEddie Hung2019-11-281-1/+1
| | |
| * | Fix multiple driver issueEddie Hung2019-11-271-2/+7
| | |
* | | Fix multiple driver issueEddie Hung2019-11-271-2/+7
| | |
* | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-273-7/+18
|\ \ \ | | |/ | |/|
| * | Merge pull request #1536 from YosysHQ/eddie/xilinx_dsp_muladdEddie Hung2019-11-271-3/+3
| |\ \ | | | | | | | | xilinx_dsp: consider sign and zero-extension when packing post-multiplier adder
| | * | Check for either sign or zero extension for postAdd packingEddie Hung2019-11-261-3/+3
| | | |
| * | | Merge pull request #1501 from YosysHQ/dave/mem_copy_attrClifford Wolf2019-11-271-0/+4
| |\ \ \ | | | | | | | | | | memory_collect: Copy attr from RTLIL::Memory to cell
| | * | | memory_collect: Copy attr from RTLIL::Memory to cellDavid Shah2019-11-181-0/+4
| | | | | | | | | | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * | | | opt_share: Fix handling of fine cells.Marcin Kościelnicki2019-11-271-4/+11
| | |/ / | |/| | | | | | | | | | Fixes #1525.
* | | | Merge remote-tracking branch 'origin/eddie/submod_po' into xaig_dffEddie Hung2019-11-271-7/+3
|\ \ \ \ | | |_|/ | |/| |
| * | | Do not replace constants with same wireEddie Hung2019-11-271-7/+3
| | | |
* | | | Merge remote-tracking branch 'origin/eddie/submod_po' into xaig_dffEddie Hung2019-11-271-47/+71
|\| | |
| * | | CleanupEddie Hung2019-11-271-5/+3
| | | |
| * | | Check for nullptrEddie Hung2019-11-271-1/+1
| | | |
| * | | Stray log_dumpEddie Hung2019-11-271-1/+0
| | | |
| * | | Revert "submod to bitty rather bussy, for bussy wires used as input and output"Eddie Hung2019-11-271-40/+71
| | | | | | | | | | | | | | | | This reverts commit cba3073026711e7683c46ba091c56a5c5a041a45.
| * | | Promote output wires in sigmap so that can be detectedEddie Hung2019-11-261-8/+4
| | | |
| * | | Fix submod -hiddenEddie Hung2019-11-261-5/+6
| | | |
| * | | Add -hidden option to submodEddie Hung2019-11-261-11/+25
| | | |
| * | | Update docs with bullet pointsEddie Hung2019-11-261-10/+9
| | | |
| * | | Move \init from source wire to submod if output portEddie Hung2019-11-251-0/+7
| | | |
* | | | Fix submod -hiddenEddie Hung2019-11-261-5/+6
| | | |
* | | | clkpart to use 'submod -hidden'Eddie Hung2019-11-261-1/+1
| | | |
* | | | Add -hidden option to submodEddie Hung2019-11-261-20/+40
| | | |
* | | | Fix debugEddie Hung2019-11-251-3/+3
| | | |
* | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-251-0/+41
|\ \ \ \ | | |/ / | |/| |
| * | | clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-0/+41
| | | |
* | | | abc9 to contain time callEddie Hung2019-11-251-1/+1
| | | |
* | | | abc9 to no longer to clock partitioning, operate on whole modules onlyEddie Hung2019-11-251-139/+32
| | | |
* | | | clkpart to analyse async flops tooEddie Hung2019-11-251-0/+8
| | | |
* | | | Merge remote-tracking branch 'origin/eddie/clkpart' into xaig_dffEddie Hung2019-11-231-2/+3
|\ \ \ \
| * | | | More oopsiesEddie Hung2019-11-231-2/+3
| | | | |
* | | | | Conditioning abc9 on POs not accurate due to cellsEddie Hung2019-11-231-15/+6
| | | | |
* | | | | Merge remote-tracking branch 'origin/eddie/clkpart' into xaig_dffEddie Hung2019-11-231-13/+27
|\| | | |
| * | | | Print ".en=" only if there is an enable signalEddie Hung2019-11-231-1/+1
| | | | |