aboutsummaryrefslogtreecommitdiffstats
path: root/passes/pmgen/xilinx_dsp_cascade.pmg
Commit message (Expand)AuthorAgeFilesLines
* synth_xilinx: Use opt_dff.Marcelina Koƛcielnicka2020-07-301-101/+24
* xilinx: xilinx_dsp_cascade to check CREG for DSP48E1 onlyEddie Hung2020-04-221-1/+1
* Cleanup use of hard-coded default parameters in light of #1945Eddie Hung2020-04-221-12/+12
* Minor nit fixesMarcin Koƛcielnicki2019-12-251-2/+2
* Fix OPMODE for PCIN->PCOUT cascades in xc6s, check B[01]REG tooEddie Hung2019-12-231-8/+18
* Fix CEA/CEB checkEddie Hung2019-12-231-2/+2
* Fix checking CE[AB] and for direct connectionsEddie Hung2019-12-231-18/+40
* Support unregistered cascades for A and B inputsEddie Hung2019-12-231-47/+74
* Add DSP48A* PCOUT -> PCIN cascade supportEddie Hung2019-12-231-10/+10
* Add comment on why we have to match for clock-enable/reset muxesEddie Hung2019-10-051-1/+4
* Add comments for xilinx_dsp_cascadeEddie Hung2019-10-041-12/+100
* Ooops AREG and BREG to default to -1Eddie Hung2019-09-271-2/+2
* Do not always zero out C (e.g. during cascade breaks)Eddie Hung2019-09-261-5/+3
* Zero out portsEddie Hung2019-09-261-2/+2
* xilinx_dsp_cascade to also cascade AREG and BREGEddie Hung2019-09-261-431/+153
* Try recursive pmgen for P cascadeEddie Hung2019-09-261-88/+118
* Move unextend initialisation laterEddie Hung2019-09-231-12/+9
* OPMODE is port not paramEddie Hung2019-09-201-7/+6
* WIP for xiinx_dsp_cascadeABEddie Hung2019-09-201-3/+499
* Add a xilinx_dsp_cascade matcher for PCIN -> PCOUTEddie Hung2019-09-201-0/+94