aboutsummaryrefslogtreecommitdiffstats
path: root/kernel
Commit message (Collapse)AuthorAgeFilesLines
...
| * | | | | | | | escape spaces with backslash when writing dep fileOleg Endo2019-04-293-2/+17
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | filenames are sparated by spaces in the dep file. if a filename in the dep file contains spaces they must be escaped, otherwise the tool that reads the dep file will see multiple wrong filenames.
* | | | | | | | | Improve $specrule interfaceClifford Wolf2019-04-231-2/+2
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | | Improve $specrule interfaceClifford Wolf2019-04-231-1/+2
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-232-0/+17
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std ↵Clifford Wolf2019-04-231-2/+2
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | nomenclature Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | | Add CellTypes support for $specify2 and $specify3Clifford Wolf2019-04-231-0/+3
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | | Add InternalCellChecker support for $specify2 and $specify3Clifford Wolf2019-04-231-7/+21
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | | Add specify parserClifford Wolf2019-04-231-0/+10
|/ / / / / / / / | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | / Fixes for OAI4 cell implementationDavid Shah2019-04-232-2/+2
| |_|_|_|_|_|/ |/| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Fixes #955 and the underlying issue in #954 Signed-off-by: David Shah <dave@ds0.me>
* | | | | | | Add log_debug() frameworkClifford Wolf2019-04-224-1/+58
| | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | Merge pull request #905 from christian-krieg/feature/python_bindingsClifford Wolf2019-04-226-4/+184
|\ \ \ \ \ \ \ | |_|_|_|_|_|/ |/| | | | | | Feature/python bindings
| * | | | | | Global lists in rtlil.cc are now static objectsBenedikt Tutzer2019-04-031-10/+10
| | | | | | |
| * | | | | | Added support for changing Yosys namespaceBenedikt Tutzer2019-04-031-0/+1
| | | | | | |
| * | | | | | Fixed identationBenedikt Tutzer2019-04-011-1/+1
| | | | | | |
| * | | | | | Merge remote-tracking branch 'origin/master' into feature/python_bindingsBenedikt Tutzer2019-03-2815-127/+297
| |\ \ \ \ \ \
| * | | | | | | Exposed generator script to make-processBenedikt Tutzer2018-09-192-3888/+2
| | | | | | | |
| * | | | | | | added functions whose definitions are split over multiple linesBenedikt Tutzer2018-08-231-0/+60
| | | | | | | |
| * | | | | | | added default yosys license textBenedikt Tutzer2018-08-231-0/+19
| | | | | | | |
| * | | | | | | Fixed segfault / multiple free issue with listsBenedikt Tutzer2018-08-231-26/+38
| | | | | | | |
| * | | | | | | Do not pass heap object to Python. This way they should be completely ↵Benedikt Tutzer2018-08-221-323/+337
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | managed by Python and destroyed when out of scope. Also, the file in which a function/struct was found is added to the comment before the function
| * | | | | | | Fixed IdentationBenedikt Tutzer2018-08-221-189/+188
| | | | | | | |
| * | | | | | | Wrapped functions that use unsigned int or type_t as typesBenedikt Tutzer2018-08-211-7/+127
| | | | | | | |
| * | | | | | | added operators <, == and !=Benedikt Tutzer2018-08-211-0/+45
| | | | | | | |
| * | | | | | | Added previousely missed functionsBenedikt Tutzer2018-08-211-1/+445
| | | | | | | |
| * | | | | | | Deleted duplicate DestructorBenedikt Tutzer2018-08-211-1/+0
| | | | | | | |
| * | | | | | | added some checks if python is enabled to make sure everything compiles if ↵Benedikt Tutzer2018-08-204-7/+8
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | python is disabled in the makefile
| * | | | | | | The share directory cannot be searched when used as a Python library, only ↵Benedikt Tutzer2018-08-202-1/+8
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | in shell mode
| * | | | | | | Python passes are now looked for in share/plugins and can be added by ↵Benedikt Tutzer2018-08-201-4/+1
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | specifying a relative or absolute path
| * | | | | | | Fixed issue when using a python plugin in the yosys shellBenedikt Tutzer2018-08-203-4/+28
| | | | | | | |
| * | | | | | | Python Passes can now be added with the -m option or with the plugin ↵Benedikt Tutzer2018-08-163-1/+96
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | command. There are still issues when run in shell mode, but they can be used just fine in a python script
| * | | | | | | Added Wrappers for:Benedikt Tutzer2018-08-133-142/+2923
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | -IdString -Const -CaseRule -SwitchRule -SyncRule -Process -SigChunk -SigBit -SigSpec With all their member functions as well as the remaining member functions for Cell, Wire, Module and Design and static functions of rtlil.h
| * | | | | | | Saving id and pointer to c++ object. Object is valid only if both id and ↵Benedikt Tutzer2018-08-011-8/+29
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | pointer match the pair saved in the corresponding map in kernel/rtlil.cc. Otherwise, the object was destroyed in c++ and should not be accessed any more
| * | | | | | | Setup is called automatically when the module is loaded, shutdown when ↵Benedikt Tutzer2018-08-011-16/+19
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | python exits
| * | | | | | | Cleaned up commentsBenedikt Tutzer2018-08-011-9/+3
| | | | | | | |
| * | | | | | | Added Monitor class that can monitor all changes in a Design or in a ModuleBenedikt Tutzer2018-07-101-0/+119
| | | | | | | |
| * | | | | | | added destructors for wires and cellsBenedikt Tutzer2018-07-102-1/+16
| | | | | | | |
| * | | | | | | removed debug outputBenedikt Tutzer2018-07-091-1/+0
| | | | | | | |
| * | | | | | | commands can now be run on arbitrary designs, not only on the active oneBenedikt Tutzer2018-07-091-0/+10
| | | | | | | |
| * | | | | | | multiple designs can now exist independent from each other. ↵Benedikt Tutzer2018-07-093-45/+118
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Cells/Wires/Modules can now move to a different parent without referencing issues
| * | | | | | | Introduced namespace and removed class-prefixes to increase readabilityBenedikt Tutzer2018-06-281-163/+165
| | | | | | | |
| * | | | | | | changed references from hash-ids to IdString namesBenedikt Tutzer2018-06-281-64/+32
| | | | | | | |
| * | | | | | | added wrappers for Design, Modules, Cells and WiresBenedikt Tutzer2018-06-251-0/+244
| | | | | | | |
* | | | | | | | Add "wbflip" commandClifford Wolf2019-04-202-3/+6
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | Ignore 'whitebox' attr in flatten with "-wb" optionEddie Hung2019-04-181-2/+2
| |_|_|_|_|_|/ |/| | | | | |
* | | | | | | Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-182-3/+7
| |_|_|_|_|/ |/| | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | Add "read_ilang -lib"Clifford Wolf2019-04-052-0/+25
| |/ / / / |/| | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Add RTLIL::Const::ext[su](), fix RTLIL::SigSpec::extend_u0 for 0-size signalsClifford Wolf2019-03-232-1/+9
| | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Add fmcombine passClifford Wolf2019-03-152-17/+32
| | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Add hashlib "<container>::element(int n)" methodsClifford Wolf2019-03-141-0/+6
| | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Fix a bug in handling quotes in multi-cmd lines in Yosys scriptsClifford Wolf2019-03-121-1/+7
| | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>