aboutsummaryrefslogtreecommitdiffstats
path: root/kernel
Commit message (Collapse)AuthorAgeFilesLines
* Move ConstEvalAig to aigerparse.ccEddie Hung2019-06-131-157/+0
|
* More slimmingEddie Hung2019-06-131-35/+35
|
* Add ConstEvalAig specialised for AIGsEddie Hung2019-06-131-0/+157
|
* Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-129-21/+187
|\
| * Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-4/+4
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into ↵Clifford Wolf2019-06-072-0/+12
| |\ | | | | | | | | | clifford/pr983
| | * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-032-0/+12
| | | | | | | | | | | | | | | | | | | | | (IEEE1800-2017 section 20.11) This PR allows us to use $info/$warning/$error/$fatal **at elaboration time** within a generate block. This is very useful to stop a synthesis of a parametrized block when an illegal combination of parameters is chosen.
| * | Refactor hierarchy wand/wor handlingClifford Wolf2019-05-281-0/+1
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Fix handling of warning and error messages within log_make_debug-blocksClifford Wolf2019-05-221-0/+9
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add rewrite_sigspecs2, Improve remove() wiresClifford Wolf2019-05-152-7/+82
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Merge pull request #991 from kristofferkoch/gcc9-warningsClifford Wolf2019-05-081-0/+3
| |\ \ | | | | | | | | Fix all warnings that occurred when compiling with gcc9
| | * | Fix all warnings that occurred when compiling with gcc9Kristoffer Ellersgaard Koch2019-05-081-0/+3
| | |/
| * | Merge pull request #998 from mdaiter/get_bool_attribute_optsClifford Wolf2019-05-081-4/+8
| |\ \ | | | | | | | | Minor optimization to get_attribute_bool
| | * | Minor optimization to get_attribute_boolMatthew Daiter2019-05-071-4/+8
| | | |
| * | | Optimize ceil_log2 functionMatthew Daiter2019-05-072-3/+5
| |/ /
| * | Improve write_verilog specify supportClifford Wolf2019-05-041-1/+1
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-034-3/+18
| |\|
| | * Fix performance bug in RTLIL::SigSpec::operator==(), fixes #970Clifford Wolf2019-04-301-1/+1
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| | * fix codestyle formattingOleg Endo2019-04-293-14/+14
| | |
| | * escape spaces with backslash when writing dep fileOleg Endo2019-04-293-2/+17
| | | | | | | | | | | | | | | | | | filenames are sparated by spaces in the dep file. if a filename in the dep file contains spaces they must be escaped, otherwise the tool that reads the dep file will see multiple wrong filenames.
| * | Improve $specrule interfaceClifford Wolf2019-04-231-2/+2
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Improve $specrule interfaceClifford Wolf2019-04-231-1/+2
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-232-0/+17
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std ↵Clifford Wolf2019-04-231-2/+2
| | | | | | | | | | | | | | | | | | nomenclature Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add CellTypes support for $specify2 and $specify3Clifford Wolf2019-04-231-0/+3
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add InternalCellChecker support for $specify2 and $specify3Clifford Wolf2019-04-231-7/+21
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add specify parserClifford Wolf2019-04-231-0/+10
| |/ | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Fixes for OAI4 cell implementationDavid Shah2019-04-232-2/+2
| | | | | | | | | | | | Fixes #955 and the underlying issue in #954 Signed-off-by: David Shah <dave@ds0.me>
* | Remove kernel/cost.cc since master has refactored itEddie Hung2019-04-221-75/+0
| |
* | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-04-229-5/+289
|\|
| * Add log_debug() frameworkClifford Wolf2019-04-224-1/+58
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Merge pull request #905 from christian-krieg/feature/python_bindingsClifford Wolf2019-04-226-4/+184
| |\ | | | | | | Feature/python bindings
| | * Global lists in rtlil.cc are now static objectsBenedikt Tutzer2019-04-031-10/+10
| | |
| | * Added support for changing Yosys namespaceBenedikt Tutzer2019-04-031-0/+1
| | |
| | * Fixed identationBenedikt Tutzer2019-04-011-1/+1
| | |
| | * Merge remote-tracking branch 'origin/master' into feature/python_bindingsBenedikt Tutzer2019-03-2815-127/+297
| | |\
| | * | Exposed generator script to make-processBenedikt Tutzer2018-09-192-3888/+2
| | | |
| | * | added functions whose definitions are split over multiple linesBenedikt Tutzer2018-08-231-0/+60
| | | |
| | * | added default yosys license textBenedikt Tutzer2018-08-231-0/+19
| | | |
| | * | Fixed segfault / multiple free issue with listsBenedikt Tutzer2018-08-231-26/+38
| | | |
| | * | Do not pass heap object to Python. This way they should be completely ↵Benedikt Tutzer2018-08-221-323/+337
| | | | | | | | | | | | | | | | managed by Python and destroyed when out of scope. Also, the file in which a function/struct was found is added to the comment before the function
| | * | Fixed IdentationBenedikt Tutzer2018-08-221-189/+188
| | | |
| | * | Wrapped functions that use unsigned int or type_t as typesBenedikt Tutzer2018-08-211-7/+127
| | | |
| | * | added operators <, == and !=Benedikt Tutzer2018-08-211-0/+45
| | | |
| | * | Added previousely missed functionsBenedikt Tutzer2018-08-211-1/+445
| | | |
| | * | Deleted duplicate DestructorBenedikt Tutzer2018-08-211-1/+0
| | | |
| | * | added some checks if python is enabled to make sure everything compiles if ↵Benedikt Tutzer2018-08-204-7/+8
| | | | | | | | | | | | | | | | python is disabled in the makefile
| | * | The share directory cannot be searched when used as a Python library, only ↵Benedikt Tutzer2018-08-202-1/+8
| | | | | | | | | | | | | | | | in shell mode
| | * | Python passes are now looked for in share/plugins and can be added by ↵Benedikt Tutzer2018-08-201-4/+1
| | | | | | | | | | | | | | | | specifying a relative or absolute path
| | * | Fixed issue when using a python plugin in the yosys shellBenedikt Tutzer2018-08-203-4/+28
| | | |