aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* aiger: -xaiger to return $_FF_ flopsEddie Hung2020-05-141-15/+2
* aiger/xaiger: use odd for negedge clk, even for posedgeEddie Hung2020-05-141-4/+3
* aiger: -xaiger to parse initial state back into (* init *) on Q wireEddie Hung2020-05-141-1/+2
* aiger: -xaiger to read $_DFF_[NP]_ back with new clocks createdEddie Hung2020-05-142-3/+24
* Merge pull request #2045 from YosysHQ/eddie/fix2042Eddie Hung2020-05-141-1/+13
|\
| * verilog: default to input in sv mode if task/func has no dir ...Eddie Hung2020-05-131-2/+10
| * verilog: error out when non-ANSI task/func argumentsEddie Hung2020-05-111-1/+5
* | Merge pull request #2052 from YosysHQ/claire/verific_memfixClaire Wolf2020-05-141-2/+12
|\ \
| * | Add support for non-power-of-two mem chunks in verific importerClaire Wolf2020-05-141-2/+12
| |/
* | Merge pull request #2027 from YosysHQ/eddie/verilog_neg_uptoClaire Wolf2020-05-141-1/+1
|\ \ | |/ |/|
| * ast: swap range regardless of range_left >= 0Eddie Hung2020-05-041-1/+1
* | Merge pull request #2022 from Xiretza/fallthroughswhitequark2020-05-082-4/+5
|\ \
| * | Add YS_FALLTHROUGH macro to mark case fall-throughXiretza2020-05-072-4/+5
* | | Merge pull request #2005 from YosysHQ/claire/fix1990Claire Wolf2020-05-075-16/+82
|\ \ \ | |/ / |/| |
| * | Fix handling of signed indices in bit slicesClaire Wolf2020-05-021-3/+8
| * | Add AST_SELFSZ and improve handling of bit slicesClaire Wolf2020-05-025-7/+22
| * | Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-024-7/+53
* | | Merge pull request #2028 from zachjs/masterEddie Hung2020-05-061-1/+6
|\ \ \
| * | | verilog: allow null gen-if then blockZachary Snow2020-05-061-1/+6
| | |/ | |/|
* | | Merge pull request #2025 from YosysHQ/eddie/frontend_cleanupEddie Hung2020-05-056-31/+31
|\ \ \
| * | | frontend: cleanup to use more ID::*, more dict<> instead of map<>Eddie Hung2020-05-046-31/+31
| |/ /
* | | Merge pull request #2024 from YosysHQ/eddie/primitive_srcEddie Hung2020-05-052-2/+6
|\ \ \
| * | | verilog: set src attribute for primitivesEddie Hung2020-05-042-2/+6
| |/ /
* / / verilog: fix specify src attributeEddie Hung2020-05-041-18/+20
|/ /
* | Merge pull request #1996 from boqwxp/rtlil_source_locationsEddie Hung2020-05-041-13/+13
|\ \
| * | frontend: Include complete source location instead of just `location.first_li...Alberto Gonzalez2020-05-011-13/+13
* | | aiger: fixes for ports that have start_offset != 0Eddie Hung2020-05-021-30/+47
| |/ |/|
* | Merge pull request #2001 from whitequark/wasiwhitequark2020-05-011-1/+1
|\ \
| * | Add WASI platform support.whitequark2020-04-301-1/+1
* | | Merge pull request #1981 from YosysHQ/claire/fix1837Claire Wolf2020-05-011-0/+4
|\ \ \ | |/ / |/| |
| * | Clear current_scope when done with RTLIL generation, fixes #1837Claire Wolf2020-04-221-0/+4
* | | verific: ignore anonymous enumsEddie Hung2020-04-301-1/+4
* | | verific: support VHDL enums tooEddie Hung2020-04-271-13/+43
* | | verific: recover wiretype/enum attr as part of import_attributes()Eddie Hung2020-04-272-6/+35
* | | Revert "verific: import enum attributes from verific"Eddie Hung2020-04-241-24/+0
| |/ |/|
* | verific: do not assert if wire not found; warn insteadEddie Hung2020-04-231-2/+6
* | verific: import enum attributes from verificEddie Hung2020-04-221-0/+20
|/
* ilang, ast: Store parameter order and default value information.Marcelina Koƛcielnicka2020-04-213-5/+13
* Merge pull request #1851 from YosysHQ/claire/bitselwriteClaire Wolf2020-04-214-15/+207
|\
| * Make mask-and-shift the default for bitselwriteClaire Wolf2020-04-161-1/+1
| * Add LookaheadRewriter for proper bitselwrite supportClaire Wolf2020-04-164-4/+144
| * Improved rewrite code for writing to bit slice (disabled for now)Claire Wolf2020-04-151-12/+64
* | Merge pull request #1961 from whitequark/paramod-original-namewhitequark2020-04-212-0/+5
|\ \
| * | ast, rpc: record original name of $paramod\* as \hdlname attribute.whitequark2020-04-182-0/+5
* | | Extend support for format strings in Verilog front-endClaire Wolf2020-04-181-8/+38
* | | Set Verilog source location for explicit blocks (`begin` ... `end`).Alberto Gonzalez2020-04-171-0/+1
* | | Add Verilog source location information to `AST_POSEDGE` and `AST_NEGEDGE` no...Alberto Gonzalez2020-04-171-0/+2
|/ /
* | Add location information to `AST_CONSTANT` nodes.Alberto Gonzalez2020-04-161-0/+3
* | ast: Fix handling of identifiers in the global scopeDavid Shah2020-04-162-2/+7
|/
* Merge pull request #1918 from whitequark/simplify-improve_enumwhitequark2020-04-151-5/+3
|\