aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Fix handling of zero-length SVA consecutive repetitionClifford Wolf2018-05-051-26/+46
* Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-034-19/+56
* Support more character literalsDan Gisselquist2018-05-031-1/+9
* Add statement labels for immediate assertionsClifford Wolf2018-04-131-18/+21
* Allow "property" in immediate assertionsClifford Wolf2018-04-121-17/+20
* Add PRIM_HDL_ASSERTION support to Verific importerClifford Wolf2018-04-071-3/+19
* Fix handling of $global_clocking in VerificClifford Wolf2018-04-061-1/+7
* Add read_verilog anyseq/anyconst/allseq/allconst attribute supportClifford Wolf2018-04-061-1/+33
* Add Verific anyseq/anyconst/allseq/allconst attribute supportClifford Wolf2018-04-061-2/+36
* Add "verific -autocover"Clifford Wolf2018-04-062-5/+17
* Set RAM runtime flags for Verific frontendmakaimann2018-04-051-0/+3
* Remove left-over log_ping debug commands.. oops.Clifford Wolf2018-03-311-4/+0
* First draft of Verilog parser support for specify blocks and parameters.Udi Finkelstein2018-03-272-2/+170
* Fix handling of unclocked immediate assertions in Verific front-endClifford Wolf2018-03-263-17/+42
* Update todo for more features to verificsva.ccClifford Wolf2018-03-161-3/+3
* Update todo for more features to verificsva.ccClifford Wolf2018-03-161-0/+1
* Add todo for more features to verificsva.ccClifford Wolf2018-03-161-8/+45
* Improve import of memories via VerificClifford Wolf2018-03-151-16/+23
* Fix handling of SV compilation units in Verific front-endClifford Wolf2018-03-141-28/+25
* Fix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEATClifford Wolf2018-03-101-15/+72
* Fix variable name typo in verificsva.ccClifford Wolf2018-03-101-2/+2
* Add support for trivial SVA sequences and propertiesClifford Wolf2018-03-101-12/+102
* Use Verific hier_tree component for elaborationClifford Wolf2018-03-081-0/+54
* Fix Verific handling of "assert property (..);" in always blockClifford Wolf2018-03-073-14/+60
* Add "verific -import -V"Clifford Wolf2018-03-072-6/+18
* Set Verific db_preserve_user_nets flagClifford Wolf2018-03-071-0/+1
* Update comment about supported SVA in verificsva.ccClifford Wolf2018-03-061-51/+8
* Add SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT supportClifford Wolf2018-03-061-20/+41
* Add SVA first_match() supportClifford Wolf2018-03-061-0/+16
* Add SVA within supportClifford Wolf2018-03-061-2/+18
* Add support for SVA sequence intersectClifford Wolf2018-03-061-36/+251
* Add get_fsm_accept_reject for parsing SVA propertiesClifford Wolf2018-03-061-73/+86
* Simplified SVA "until" handlingClifford Wolf2018-03-061-25/+16
* Add proper SVA seq.triggered supportClifford Wolf2018-03-043-37/+102
* Add Verific SVA support for "seq and seq" expressionsClifford Wolf2018-03-041-24/+94
* Refactor Verific SVA importer property parserClifford Wolf2018-03-041-56/+82
* Add VerificClocking class and refactor Verific DFF handlingClifford Wolf2018-03-043-126/+196
* Add SVA support for sequence ORClifford Wolf2018-03-031-22/+33
* Fix handling of SVA "until seq.triggered" propertiesClifford Wolf2018-03-021-7/+25
* Update SVA cheat sheet in verificsva.ccClifford Wolf2018-03-021-2/+4
* Fix in Verific SVA importer handling of until_withClifford Wolf2018-03-011-7/+5
* Fixes and improvements in Verific SVA importerClifford Wolf2018-03-013-83/+136
* Add $rose/$fell support to Verific bindingsClifford Wolf2018-03-011-3/+22
* Add support for PRIM_SVA_UNTIL to new SVA importerClifford Wolf2018-02-281-0/+27
* Add DFSM generator to verific SVA importerClifford Wolf2018-02-281-19/+272
* Continue refactoring of Verific SVA importer codeClifford Wolf2018-02-283-671/+172
* Major redesign of Verific SVA importerClifford Wolf2018-02-271-5/+573
* Add handling of verific OPER_REDUCE_NORClifford Wolf2018-02-261-0/+6
* Add handling of verific OPER_SELECTOR and OPER_WIDE_SELECTORClifford Wolf2018-02-261-0/+13
* Add handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUXClifford Wolf2018-02-261-0/+25