index
:
iCE40/yosys
master
[no description]
about
summary
refs
log
tree
commit
diff
stats
log msg
author
committer
range
path:
root
/
frontends
/
verilog
Commit message (
Expand
)
Author
Age
Files
Lines
*
Bugfix in Verilog string handling
Clifford Wolf
2019-01-05
1
-1
/
+1
*
Fix typographical and grammatical errors and inconsistencies.
whitequark
2019-01-02
1
-3
/
+3
*
verilog_parser: Properly handle recursion when processing attributes
Sylvain Munaut
2018-12-14
1
-19
/
+29
*
Add warning for SV "restrict" without "property"
Clifford Wolf
2018-11-04
1
-2
/
+11
*
Fix minor typo in error message
Clifford Wolf
2018-10-25
1
-1
/
+1
*
Rename the generic "Syntax error" message from the Verilog/SystemVerilog pars...
Udi Finkelstein
2018-10-25
1
-14
/
+14
*
Merge pull request #659 from rubund/sv_interfaces
Clifford Wolf
2018-10-18
2
-0
/
+88
|
\
|
*
Handle FIXME for modport members without type directly in front
Ruben Undheim
2018-10-13
1
-6
/
+8
|
*
Support for 'modports' for System Verilog interfaces
Ruben Undheim
2018-10-12
1
-3
/
+21
|
*
Synthesis support for SystemVerilog interfaces
Ruben Undheim
2018-10-12
2
-0
/
+68
*
|
ignore protect endprotect
argama
2018-10-16
1
-0
/
+3
|
/
*
Add "read_verilog -noassert -noassume -assert-assumes"
Clifford Wolf
2018-09-24
3
-6
/
+49
*
Added support for ommited "parameter" in Verilog-2001 style parameter decl in...
Clifford Wolf
2018-09-23
1
-3
/
+9
*
Add "make coverage"
Clifford Wolf
2018-08-27
3
-6
/
+5
*
Merge pull request #610 from udif/udif_specify_round2
Clifford Wolf
2018-08-23
1
-16
/
+39
|
\
|
*
Fixed all known specify/endspecify issues, without breaking 'make test'.
Udi Finkelstein
2018-08-20
1
-12
/
+12
|
*
Yosys can now parse https://github.com/verilog-to-routing/vtr-verilog-to-rout...
Udi Finkelstein
2018-08-20
1
-10
/
+22
|
*
A few minor enhancements to specify block parsing.
Udi Finkelstein
2018-08-15
1
-2
/
+13
*
|
Added -no_dump_ptr flag for AST dump options in 'read_verilog'
Udi Finkelstein
2018-08-23
1
-1
/
+9
|
/
*
Merge pull request #591 from hzeller/virtual-override
Clifford Wolf
2018-08-15
1
-6
/
+6
|
\
|
*
Consistent use of 'override' for virtual methods in derived classes.
Henner Zeller
2018-07-20
1
-6
/
+6
*
|
Merge pull request #513 from udif/pr_reg_wire_error
Clifford Wolf
2018-08-15
2
-2
/
+7
|
\
\
|
*
|
Modified errors into warnings
Udi Finkelstein
2018-06-05
1
-0
/
+1
|
*
|
This PR should be the base for discussion, do not merge it yet!
Udi Finkelstein
2018-03-11
2
-2
/
+6
*
|
|
Merge pull request #562 from udif/pr_fix_illegal_port_decl
Clifford Wolf
2018-08-15
1
-3
/
+6
|
\
\
\
|
|
_
|
/
|
/
|
|
|
*
|
Detect illegal port declaration, e.g input/output/inout keyword must be the f...
Udi Finkelstein
2018-06-06
1
-3
/
+6
*
|
|
Convert more log_error() to log_file_error() where possible.
Henner Zeller
2018-07-20
1
-5
/
+3
*
|
|
Use log_file_warning(), log_file_error() functions.
Henner Zeller
2018-07-20
1
-5
/
+3
|
/
/
*
|
Support SystemVerilog `` extension for macros
Jim Paris
2018-05-17
1
-1
/
+5
*
|
Skip spaces around macro arguments
Jim Paris
2018-05-17
1
-0
/
+1
*
|
Replace -ignore_redef with -[no]overwrite
Clifford Wolf
2018-05-03
1
-6
/
+17
*
|
Support more character literals
Dan Gisselquist
2018-05-03
1
-1
/
+9
*
|
Add statement labels for immediate assertions
Clifford Wolf
2018-04-13
1
-18
/
+21
*
|
Allow "property" in immediate assertions
Clifford Wolf
2018-04-12
1
-17
/
+20
*
|
Add read_verilog anyseq/anyconst/allseq/allconst attribute support
Clifford Wolf
2018-04-06
1
-1
/
+33
*
|
First draft of Verilog parser support for specify blocks and parameters.
Udi Finkelstein
2018-03-27
2
-2
/
+170
|
/
*
Add $allconst and $allseq cell types
Clifford Wolf
2018-02-23
1
-1
/
+3
*
Add support for "yosys -E"
Clifford Wolf
2018-01-07
1
-2
/
+4
*
Bugfix in verilog_defaults argument parser
Clifford Wolf
2017-12-24
1
-1
/
+1
*
Add Verilog "automatic" keyword (ignored in synthesis)
Clifford Wolf
2017-11-23
2
-13
/
+18
*
Accept real-valued delay values
Clifford Wolf
2017-11-18
1
-0
/
+1
*
Accommodate Windows-style paths during include-file processing.
William D. Jones
2017-11-14
1
-4
/
+20
*
Resolved classical Bison IF/THEN/ELSE shift/reduce conflict using the textboo...
Udi Finkelstein
2017-09-30
1
-3
/
+5
*
Minor coding style fix
Clifford Wolf
2017-09-26
1
-1
/
+1
*
Merge branch 'master' of https://github.com/combinatorylogic/yosys into combi...
Clifford Wolf
2017-09-26
1
-41
/
+69
|
\
|
*
Adding support for string macros and macros with arguments after include
combinatorylogic
2017-09-21
1
-41
/
+69
*
|
Fix ignoring of simulation timings so that invalid module parameters cause sy...
Clifford Wolf
2017-09-26
2
-4
/
+2
|
/
*
Add a paragraph about pre-defined macros to read_verilog help message
Clifford Wolf
2017-07-21
1
-0
/
+4
*
Fix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand cons...
Clifford Wolf
2017-06-07
1
-0
/
+1
*
Fix handling of Verilog ~& and ~| operators
Clifford Wolf
2017-06-01
1
-0
/
+8
[next]