aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog
Commit message (Expand)AuthorAgeFilesLines
* Removed compatbility.{h,cc}: Not using open_memstream/fmemopen anymoreClifford Wolf2014-08-231-4/+1
* Changed frontend-api from FILE to std::istreamClifford Wolf2014-08-235-22/+30
* Added support for non-standard <plugin>:<c_name> DPI syntaxClifford Wolf2014-08-221-0/+12
* Added support for DPI function with different names in C and VerilogClifford Wolf2014-08-212-5/+16
* Added Verilog/AST support for DPI functions (dpi_call() still unimplemented)Clifford Wolf2014-08-212-1/+54
* Added support for global tasks and functionsClifford Wolf2014-08-212-15/+23
* Added "via_celltype" attribute on task/funcClifford Wolf2014-08-181-12/+14
* Fixed line numbers when using here-doc macrosClifford Wolf2014-08-141-4/+9
* Added support for non-standard """ macro bodiesClifford Wolf2014-08-131-1/+12
* Also allow "module foobar(input foo, output bar, ...);" syntaxClifford Wolf2014-08-071-3/+5
* Added AST_MULTIRANGE (arrays with more than 1 dimension)Clifford Wolf2014-08-061-4/+18
* Improved scope resolution of local regs in Verilog+AST frontendClifford Wolf2014-08-051-2/+1
* Added support for non-standard "module mod_name(...);" syntaxClifford Wolf2014-08-041-1/+7
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-316-3/+24
* Fixed counting verilog line numbers for "// synopsys translate_off" sectionsClifford Wolf2014-07-302-4/+4
* Fixed Verilog pre-processor for files with no trailing newlineClifford Wolf2014-07-291-1/+1
* Using log_assert() instead of assert()Clifford Wolf2014-07-283-5/+2
* Added "make PRETTY=1"Clifford Wolf2014-07-241-3/+3
* fixed parsing of constant with comment between size and valueClifford Wolf2014-07-021-0/+7
* Fixed parsing of TOK_INTEGER (implies TOK_SIGNED)Clifford Wolf2014-06-161-5/+11
* Improved parsing of large integer constantsClifford Wolf2014-06-151-11/+28
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-142-7/+15
* Added Verilog lexer and parser support for real valuesClifford Wolf2014-06-132-3/+24
* Added read_verilog -sv options, added support for bit, logic,Clifford Wolf2014-06-124-3/+37
* Add support for cell arraysClifford Wolf2014-06-071-0/+7
* made the generate..endgenrate keywords optionalClifford Wolf2014-06-061-4/+8
* added while and repeat support to verilog parserClifford Wolf2014-06-062-1/+29
* Improved error message for options after front-end filename argumentsClifford Wolf2014-06-041-1/+1
* Fixed clang -Wdeprecated-register warningsClifford Wolf2014-04-201-0/+5
* Replaced depricated %name-prefix= bison directiveClifford Wolf2014-04-201-1/+1
* Merged OSX fixes from Siesh1oo with some modificationsClifford Wolf2014-03-131-0/+1
* Added support for `line compiler directiveClifford Wolf2014-03-111-0/+11
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-175-2/+18
* Added a warning note about error reporting to read_verilog help messageClifford Wolf2014-02-161-0/+5
* Implemented read_verilog -deferClifford Wolf2014-02-131-1/+11
* Added support for functions returning integerClifford Wolf2014-02-121-2/+12
* Added read_verilog -setattrClifford Wolf2014-02-051-0/+15
* Added support for blanks after -I and -D in read_verilogClifford Wolf2014-02-021-7/+20
* Added constant size expression support of sized constantsClifford Wolf2014-02-011-0/+22
* Added read_verilog -icells optionClifford Wolf2014-01-291-1/+9
* Fixed handling of unsized constants in verilog frontendClifford Wolf2014-01-241-2/+2
* Added Verilog parser support for assertsClifford Wolf2014-01-192-3/+10
* Fixed parsing of verilog macros at end of lineClifford Wolf2014-01-181-1/+1
* Added verilog_defaults commandClifford Wolf2014-01-171-0/+66
* Fixed parsing of non-arg macro calls followed by "("Clifford Wolf2013-12-271-1/+7
* Fixed parsing of macros with no arguments and expansion text starting with "("Clifford Wolf2013-12-271-1/+2
* Added proper === and !== support in constant expressionsClifford Wolf2013-12-272-3/+11
* Added elsif preproc supportClifford Wolf2013-12-181-1/+14
* Added support for macro argumentsClifford Wolf2013-12-181-23/+75
* Added AstNode::mkconst_str APIClifford Wolf2013-12-051-12/+1