aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog
Commit message (Expand)AuthorAgeFilesLines
* Fix handling of z_digit "?" and fix optimization of cmp with "z"Clifford Wolf2019-09-131-5/+1
* Fix lexing of integer literals without radixClifford Wolf2019-09-131-1/+1
* Fix lexing of integer literals, fixes #1364Clifford Wolf2019-09-122-3/+3
* substr() -> compare()Eddie Hung2019-08-071-4/+4
* RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-12/+12
* verilog_lexer: Increase YY_BUF_SIZE to 65536David Shah2019-07-261-0/+3
* Merge pull request #1147 from YosysHQ/clifford/fix1144Clifford Wolf2019-07-031-81/+14
|\
| * Some cleanups in "ignore specify parser"Clifford Wolf2019-07-031-79/+5
| * Improve specify dummy parser, fixes #1144Clifford Wolf2019-06-281-2/+9
* | Fix read_verilog assert/assume/etc on default case label, fixes YosysHQ/Symbi...Clifford Wolf2019-07-021-0/+2
|/
* Fix segfault on failed VERILOG_FRONTEND::const2ast, closes #1131Clifford Wolf2019-06-261-1/+1
* Merge pull request #1119 from YosysHQ/eddie/fix1118Clifford Wolf2019-06-211-0/+1
|\
| * Make genvar a signed typeEddie Hung2019-06-201-0/+1
* | Maintain "is_unsized" state of constantsEddie Hung2019-06-201-6/+6
|/
* Merge branch 'unpacked_arrays' of https://github.com/towoe/yosys-sv into towo...Clifford Wolf2019-06-201-1/+7
|\
| * Unpacked array declaration using sizeTobias Wölfel2019-06-191-1/+7
* | Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-192-3/+15
* | Add defaultvalue attributeClifford Wolf2019-06-191-0/+11
* | Fix handling of "logic" variables with initial valueClifford Wolf2019-06-191-2/+2
* | Fixed brojen $error()/$info/$warning() on non-generate blocksUdi Finkelstein2019-06-112-3/+13
|/
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-072-1/+15
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-032-1/+15
* | Cleanup tux3-implicit_named_connectionClifford Wolf2019-06-071-1/+1
* | Merge branch 'implicit_named_connection' of https://github.com/tux3/yosys int...Clifford Wolf2019-06-071-1/+10
|\ \
| * | SystemVerilog support for implicit named port connectionstux32019-06-061-9/+17
* | | Fixed memory leak.Maciej Kurc2019-06-051-0/+4
* | | Added support for parsing attributes on port connections.Maciej Kurc2019-05-311-10/+10
|/ /
* | Merge branch 'master' into wandworStefan Biereigel2019-05-272-9/+19
|\ \
| * | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-272-9/+19
* | | fix indentation across filesStefan Biereigel2019-05-231-2/+2
* | | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-232-1/+9
|/ /
* | Read bigger Verilog files.Kaj Tuomi2019-05-181-1/+1
* | Merge pull request #1013 from antmicro/parameter_attributesClifford Wolf2019-05-161-2/+2
|\ \
| * | Added support for parsing attributes on parameters in Verilog frontent. Conte...Maciej Kurc2019-05-161-2/+2
* | | Make the generated *.tab.hh include all the headers needed to define the union.Henner Zeller2019-05-141-1/+9
|/ /
* | Merge pull request #946 from YosysHQ/clifford/specifyClifford Wolf2019-05-064-33/+328
|\ \
| * \ Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specifyClifford Wolf2019-05-062-2/+10
| |\ \
| * \ \ Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-031-2/+2
| |\ \ \ | | | |/ | | |/|
| * | | Improve $specrule interfaceClifford Wolf2019-04-232-9/+19
| * | | Improve $specrule interfaceClifford Wolf2019-04-231-20/+18
| * | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-232-2/+78
| * | | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-2/+2
| * | | Un-break default specify parserClifford Wolf2019-04-231-0/+1
| * | | Add specify parserClifford Wolf2019-04-234-33/+243
* | | | verilog_parser: Fix Bison warningBen Widawsky2019-05-051-1/+1
| |_|/ |/| |
* | | Merge pull request #988 from YosysHQ/clifford/fix987Clifford Wolf2019-05-042-1/+5
|\ \ \
| * | | Add approximate support for SV "var" keyword, fixes #987Clifford Wolf2019-05-042-1/+5
| | |/ | |/|
* / | Add support for SVA "final" keywordClifford Wolf2019-05-042-1/+5
|/ /
* / Include filename in "Executing Verilog-2005 frontend" message, fixes #959Clifford Wolf2019-04-301-2/+2
|/
* New behavior for front-end handling of whiteboxesClifford Wolf2019-04-203-16/+30