aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_frontend.cc
Commit message (Expand)AuthorAgeFilesLines
* Add "read_verilog -noassert -noassume -assert-assumes"Clifford Wolf2018-09-241-1/+22
* Added -no_dump_ptr flag for AST dump options in 'read_verilog'Udi Finkelstein2018-08-231-1/+9
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-6/+6
* Use log_file_warning(), log_file_error() functions.Henner Zeller2018-07-201-5/+3
* Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-031-6/+17
* Bugfix in verilog_defaults argument parserClifford Wolf2017-12-241-1/+1
* Add a paragraph about pre-defined macros to read_verilog help messageClifford Wolf2017-07-211-0/+4
* Added "verilog_defines" commandClifford Wolf2016-12-151-0/+60
* Bugfix in "read_verilog -D NAME=VAL" handlingClifford Wolf2016-11-281-3/+3
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-1/+1
* Added read_verilog -norestrict -assume-assertsClifford Wolf2016-08-261-1/+17
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-271-1/+9
* No tristate warning message for "read_verilog -lib"Clifford Wolf2016-07-231-3/+3
* Small improvements in Verilog front-end docsClifford Wolf2016-05-201-0/+3
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
* Fixed typos in verilog_defaults help messageClifford Wolf2016-03-101-3/+3
* SystemVerilog also has assume(), added implicit -D FORMALClifford Wolf2015-10-131-2/+2
* Added read_verilog -nodpiClifford Wolf2015-09-231-0/+19
* Re-created command-reference-manual.tex, copied some doc fixes to online helpClifford Wolf2015-08-141-6/+6
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-1/+1
* Add -noautowire option to verilog frontendMarcus Comstedt2015-08-011-1/+8
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
* Verilog front-end: define `BLACKBOX in -lib modeClifford Wolf2015-04-191-1/+2
* Added non-std verilog assume() statementClifford Wolf2015-02-261-1/+11
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-141-1/+15
* Print "SystemVerilog" in "read_verilog -sv" log messagesClifford Wolf2014-10-161-1/+1
* namespace YosysClifford Wolf2014-09-271-16/+16
* Removed compatbility.{h,cc}: Not using open_memstream/fmemopen anymoreClifford Wolf2014-08-231-4/+1
* Changed frontend-api from FILE to std::istreamClifford Wolf2014-08-231-6/+6
* Added support for global tasks and functionsClifford Wolf2014-08-211-4/+4
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-311-0/+3
* Using log_assert() instead of assert()Clifford Wolf2014-07-281-1/+0
* Added read_verilog -sv options, added support for bit, logic,Clifford Wolf2014-06-121-0/+10
* Improved error message for options after front-end filename argumentsClifford Wolf2014-06-041-1/+1
* Merged OSX fixes from Siesh1oo with some modificationsClifford Wolf2014-03-131-0/+1
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-171-1/+2
* Added a warning note about error reporting to read_verilog help messageClifford Wolf2014-02-161-0/+5
* Implemented read_verilog -deferClifford Wolf2014-02-131-1/+11
* Added read_verilog -setattrClifford Wolf2014-02-051-0/+15
* Added support for blanks after -I and -D in read_verilogClifford Wolf2014-02-021-7/+20
* Added read_verilog -icells optionClifford Wolf2014-01-291-1/+9
* Added verilog_defaults commandClifford Wolf2014-01-171-0/+66
* Added verilog frontend -ignore_redef optionClifford Wolf2013-11-241-1/+10
* Renamed "placeholder" to "blackbox"Clifford Wolf2013-11-221-1/+1
* Enable {* .. *} feature per default (removes dependency to REJECT feature in ...Clifford Wolf2013-11-221-2/+0
* Added support for include directories with the new '-I' argument of theJohann Glaser2013-08-201-1/+10
* Improved ast dumping (ast/verilog frontend)Clifford Wolf2013-08-191-12/+11
* Enabled AST/Verilog front-end optimizations per defaultClifford Wolf2013-06-101-1/+10
* added option '-Dname[=definition]' to command 'read_verilog'Johann Glaser2013-05-191-1/+16
* Implemented proper handling of stub placeholder modulesClifford Wolf2013-03-281-1/+9