aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Expand)AuthorAgeFilesLines
...
* Fix variable name typo in verificsva.ccClifford Wolf2018-03-101-2/+2
* Add support for trivial SVA sequences and propertiesClifford Wolf2018-03-101-12/+102
* Use Verific hier_tree component for elaborationClifford Wolf2018-03-081-0/+54
* Fix Verific handling of "assert property (..);" in always blockClifford Wolf2018-03-073-14/+60
* Add "verific -import -V"Clifford Wolf2018-03-072-6/+18
* Set Verific db_preserve_user_nets flagClifford Wolf2018-03-071-0/+1
* Update comment about supported SVA in verificsva.ccClifford Wolf2018-03-061-51/+8
* Add SVA NON_CONSECUTIVE_REPEAT and GOTO_REPEAT supportClifford Wolf2018-03-061-20/+41
* Add SVA first_match() supportClifford Wolf2018-03-061-0/+16
* Add SVA within supportClifford Wolf2018-03-061-2/+18
* Add support for SVA sequence intersectClifford Wolf2018-03-061-36/+251
* Add get_fsm_accept_reject for parsing SVA propertiesClifford Wolf2018-03-061-73/+86
* Simplified SVA "until" handlingClifford Wolf2018-03-061-25/+16
* Add proper SVA seq.triggered supportClifford Wolf2018-03-043-37/+102
* Add Verific SVA support for "seq and seq" expressionsClifford Wolf2018-03-041-24/+94
* Refactor Verific SVA importer property parserClifford Wolf2018-03-041-56/+82
* Add VerificClocking class and refactor Verific DFF handlingClifford Wolf2018-03-043-126/+196
* Add SVA support for sequence ORClifford Wolf2018-03-031-22/+33
* Fix handling of SVA "until seq.triggered" propertiesClifford Wolf2018-03-021-7/+25
* Update SVA cheat sheet in verificsva.ccClifford Wolf2018-03-021-2/+4
* Fix in Verific SVA importer handling of until_withClifford Wolf2018-03-011-7/+5
* Fixes and improvements in Verific SVA importerClifford Wolf2018-03-013-83/+136
* Add $rose/$fell support to Verific bindingsClifford Wolf2018-03-011-3/+22
* Add support for PRIM_SVA_UNTIL to new SVA importerClifford Wolf2018-02-281-0/+27
* Add DFSM generator to verific SVA importerClifford Wolf2018-02-281-19/+272
* Continue refactoring of Verific SVA importer codeClifford Wolf2018-02-283-671/+172
* Major redesign of Verific SVA importerClifford Wolf2018-02-271-5/+573
* Add handling of verific OPER_REDUCE_NORClifford Wolf2018-02-261-0/+6
* Add handling of verific OPER_SELECTOR and OPER_WIDE_SELECTORClifford Wolf2018-02-261-0/+13
* Add handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUXClifford Wolf2018-02-261-0/+25
* Add "SVA syntax cheat sheet" comment to verificsva.ccClifford Wolf2018-02-261-0/+34
* Add Verific SVA support for ranges in repetition operatorClifford Wolf2018-02-221-5/+26
* Add support for SVA throughout via VerificClifford Wolf2018-02-211-2/+6
* Add support for SVA sequence concatenation ranges via verificClifford Wolf2018-02-181-16/+124
* Add support for SVA until statements via VerificClifford Wolf2018-02-182-34/+119
* Move Verific SVA importer to extra C++ source fileClifford Wolf2018-02-184-1279/+1370
* Merge Verific SVA preprocessor and SVA importerClifford Wolf2018-02-181-79/+44
* Fix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFFClifford Wolf2018-02-151-1/+1
* Fix single-bit $stable handling in verific front-endClifford Wolf2018-02-011-0/+22
* Add Verific attribute handling for assert/assume/cover/live/fair cellsClifford Wolf2018-01-311-10/+16
* Fix permissions on verific vdb filesClifford Wolf2018-01-281-0/+1
* Fixed handling of synchronous and asynchronous assertion/assumption/cover in ...Clifford Wolf2018-01-231-27/+29
* Add support for Verific PRIM_SVA_NOT propertiesClifford Wolf2017-12-101-10/+25
* Add Verific OPER_SVA_STABLE supportClifford Wolf2017-12-101-2/+32
* Refactoring Verific SVA rewriterClifford Wolf2017-12-101-62/+70
* Remove all PSL support code from verific.ccClifford Wolf2017-10-201-179/+17
* Add "verific -vlog-libdir"Clifford Wolf2017-10-131-0/+12
* Add "verific -vlog-incdir" and "verific -vlog-define"Clifford Wolf2017-10-131-0/+35
* Update Verific READMEClifford Wolf2017-10-131-0/+7
* Add Verific fairness/liveness supportClifford Wolf2017-10-121-11/+32