aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific/verific.h
Commit message (Collapse)AuthorAgeFilesLines
* verific: Fix conditions of SVAs with explicit clocks within proceduresJannis Harder2022-05-031-0/+1
| | | | | | | | | For SVAs that have an explicit clock and are contained in a procedure which conditionally executes the assertion, verific expresses this using a mux with one input connected to constant 1 and the other output connected to an SVA_AT. The existing code only handled the case where the first input is connected to 1. This patch also handles the other case.
* Import verific netlist in consistent orderMiodrag Milanovic2022-03-251-1/+1
|
* Add support for $aldff flip-flops to verific importerClaire Xenia Wolf2021-10-081-0/+1
| | | | Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
| | | | | | | | s/((Claire|Xen|Xenia|Clifford)\s+)+(Wolf|Xen)\s+<(claire|clifford)@(symbioticeda.com|clifford.at|yosyshq.com)>/Claire Xenia Wolf <claire@yosyshq.com>/gi; s/((Nina|Nak|N\.)\s+)+Engelhardt\s+<nak@(symbioticeda.com|yosyshq.com)>/N. Engelhardt <nak@yosyshq.com>/gi; s/((David)\s+)+Shah\s+<(dave|david)@(symbioticeda.com|yosyshq.com|ds0.me)>/David Shah <dave@ds0.me>/gi; s/((Miodrag)\s+)+Milanovic\s+<(miodrag|micko)@(symbioticeda.com|yosyshq.com)>/Miodrag Milanovic <micko@yosyshq.com>/gi; s,https?://www.clifford.at/yosys/,http://yosyshq.net/yosys/,g;
* verific: recover wiretype/enum attr as part of import_attributes()Eddie Hung2020-04-271-1/+1
|
* Do not rename VHDL entities to "entity(impl)" when they are top modulesClifford Wolf2019-11-201-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Automatically prune init attributes in verific front-end, fixes #1237Clifford Wolf2019-08-071-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix verific_parameters construction, use attribute to mark top netlistsEddie Hung2019-05-031-1/+1
|
* WIP -chparam support for hierarchy when verificEddie Hung2019-05-031-2/+2
|
* Improve src tagging (using names and attrs) of cells and wires in verific ↵Clifford Wolf2018-12-181-0/+1
| | | | | | front-end Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -L <int>" optionClifford Wolf2018-09-041-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add automatic verific import in hierarchy commandClifford Wolf2018-06-201-0/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add (* gclk *) attribute supportClifford Wolf2018-06-011-0/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix verific handling of anyconst/anyseq attributesClifford Wolf2018-05-241-1/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -autocover"Clifford Wolf2018-04-061-1/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix handling of unclocked immediate assertions in Verific front-endClifford Wolf2018-03-261-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix Verific handling of "assert property (..);" in always blockClifford Wolf2018-03-071-4/+6
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -import -V"Clifford Wolf2018-03-071-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add proper SVA seq.triggered supportClifford Wolf2018-03-041-1/+11
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add VerificClocking class and refactor Verific DFF handlingClifford Wolf2018-03-041-3/+14
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fixes and improvements in Verific SVA importerClifford Wolf2018-03-011-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Continue refactoring of Verific SVA importer codeClifford Wolf2018-02-281-6/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Move Verific SVA importer to extra C++ source fileClifford Wolf2018-02-181-0/+79
Signed-off-by: Clifford Wolf <clifford@clifford.at>