aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast
Commit message (Expand)AuthorAgeFilesLines
* Fix error handling for nested always/initialClifford Wolf2017-12-022-0/+5
* Remove some dead codeClifford Wolf2017-10-101-15/+0
* Allow $past, $stable, $rose, $fell in $global_clock blocksClifford Wolf2017-10-101-1/+5
* Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-302-14/+14
* Allow $size and $bits in verilog mode, actually check test caseClifford Wolf2017-09-291-1/+1
* $size() now works correctly for all cases!Udi Finkelstein2017-09-261-17/+17
* $size() seems to work now with or without the optional parameter.Udi Finkelstein2017-09-261-10/+40
* enable $bits() and $size() functions only when the SystemVerilog flag is enab...Udi Finkelstein2017-09-261-1/+1
* Added $bits() for memories as well.Udi Finkelstein2017-09-261-2/+26
* $size() now works with memories as well!Udi Finkelstein2017-09-261-1/+3
* Add $size() function. At the moment it works only on expressions, not on memo...Udi Finkelstein2017-09-261-0/+14
* Fix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand cons...Clifford Wolf2017-06-071-0/+7
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-254-3/+12
* Preserve string parametersClifford Wolf2017-02-231-2/+8
* Fix incorrect "incompatible re-declaration of wire" error in tasks/functionsClifford Wolf2017-02-141-2/+9
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-044-2/+6
* Fix bug in AstNode::mem2reg_as_needed_pass2()Clifford Wolf2017-01-151-0/+2
* Fixed handling of local memories in functionsClifford Wolf2017-01-051-2/+2
* Added handling of local memories and error for local decls in unnamed blocksClifford Wolf2017-01-041-1/+10
* Added Verilog $rtoi and $itor supportClifford Wolf2017-01-031-24/+30
* Added support for hierarchical defparamsClifford Wolf2016-11-152-13/+39
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-4/+2
* Fixed anonymous genblock object namesClifford Wolf2016-11-041-1/+1
* Some fixes in handling of signed arraysClifford Wolf2016-11-012-0/+7
* Added avail params to ilang format, check module params in 'hierarchy -check'Clifford Wolf2016-10-221-2/+7
* Added $anyseq cell typeClifford Wolf2016-10-142-4/+4
* Added $global_clock verilog syntax support for creating $ff cellsClifford Wolf2016-10-141-4/+11
* Added $past, $stable, $rose, $fell SVA functionsClifford Wolf2016-09-192-2/+141
* Added assertpmuxClifford Wolf2016-09-071-0/+1
* Avoid creation of bogus initial blocks for assert/assume in always @*Clifford Wolf2016-09-063-1/+13
* Added $anyconst support to yosys-smtbmcClifford Wolf2016-08-301-0/+2
* Removed $aconst cell typeClifford Wolf2016-08-302-5/+5
* Removed $predict againClifford Wolf2016-08-284-6/+2
* Fixed bug with memories that do not have a down-to-zero data widthClifford Wolf2016-08-221-2/+13
* Another bugfix in mem2reg codeClifford Wolf2016-08-213-7/+31
* Minor improvements to AstNode::dumpAst() and AstNode::dumpVlog()Clifford Wolf2016-08-211-4/+15
* Fixed finish_addr handling in $readmemh/$readmembClifford Wolf2016-08-201-3/+3
* Optimize memory address port width in wreduce and memory_collect, not verilog...Clifford Wolf2016-08-192-4/+13
* Only allow posedge/negedge with 1 bit wide signalsClifford Wolf2016-08-101-0/+2
* Added $anyconst and $aconstClifford Wolf2016-07-272-0/+49
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-272-8/+21
* Using $initstate in "initial assume" and "initial assert"Clifford Wolf2016-07-211-1/+6
* Added $initstate cell type and vlog functionClifford Wolf2016-07-211-0/+24
* After reading the SV spec, using non-standard predict() instead of expect()Clifford Wolf2016-07-214-6/+6
* Added basic support for $expect cellsClifford Wolf2016-07-134-7/+16
* Fixed mem assignment in left-hand-side concatenationClifford Wolf2016-07-081-0/+44
* A few modifications after pull request commentsRuben Undheim2016-06-181-2/+2
* Added support for SystemVerilog packages with localparam definitionsRuben Undheim2016-06-183-1/+16
* Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}Clifford Wolf2016-05-271-0/+11
* Fixed access-after-delete bug in mem2reg codeClifford Wolf2016-05-272-6/+23