aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/simplify.cc
Commit message (Expand)AuthorAgeFilesLines
* Merge remote-tracking branch 'origin/master' into eddie/cleanupEddie Hung2019-08-071-15/+2
|\
| * Fix handling of functions/tasks without top-level begin-end block, fixes #1231Clifford Wolf2019-08-061-15/+2
* | IdString::str().substr() -> IdString::substr()Eddie Hung2019-08-061-1/+1
|/
* Merge branch 'master' of github.com:YosysHQ/yosys into clifford/fix968Clifford Wolf2019-05-061-0/+1
|\
| * Add splitcmplxassign test case and silence splitcmplxassign warningClifford Wolf2019-05-011-0/+1
* | Re-enable "final loop assignment" featureClifford Wolf2019-05-011-2/+0
|/
* Disabled "final loop assignment" featureClifford Wolf2019-04-301-0/+2
* Add final loop variable assignment when unrolling for-loops, fixes #968Clifford Wolf2019-04-301-0/+7
* Determine correct signedness and expression width in for loop unrolling, fixe...Clifford Wolf2019-04-221-3/+18
* support repeat loops with constant repeat counts outside of constant functionsZachary Snow2019-04-091-1/+20
* Fix mem2reg handling of memories with upto data ports, fixes #888Clifford Wolf2019-03-211-1/+10
* fix local name resolution in prefix constructsZachary Snow2019-03-181-1/+5
* Improve handling of memories used in mem index expressions on LHS of an assig...Clifford Wolf2019-03-121-5/+16
* Remove outdated "blocking assignment to memory" warningClifford Wolf2019-03-121-10/+0
* Only set MEM2REG_FL_CONST_LHS/MEM2REG_FL_VAR_LHS for non-init writes, fixes #867Clifford Wolf2019-03-121-6/+8
* Merge pull request #858 from YosysHQ/clifford/svalabelsClifford Wolf2019-03-091-0/+1
|\
| * Add support for SVA labels in read_verilogClifford Wolf2019-03-071-0/+1
* | Fix handling of task output ports in clocked always blocks, fixes #857Clifford Wolf2019-03-071-15/+18
|/
* Only run derive on blackbox modules when ports have dynamic sizeClifford Wolf2019-03-021-0/+19
* Fix $global_clock handling vs autowireClifford Wolf2019-03-021-1/+1
* Fix $readmem[hb] for mem2reg memories, fixes #785Clifford Wolf2019-03-021-0/+35
* Use mem2reg on memories that only have constant-index write portsClifford Wolf2019-03-011-0/+11
* Fix handling of defparam for when default_nettype is noneClifford Wolf2019-02-241-0/+4
* Fixes related to handling of autowires and upto-ranges, fixes #814Clifford Wolf2019-02-211-7/+10
* Fix handling of expression width in $past, fixes #810Clifford Wolf2019-02-211-1/+1
* Fix segfault in AST simplifyClifford Wolf2018-12-181-0/+5
* Make return value of $clog2 signedSylvain Munaut2018-11-241-1/+1
* Various indenting fixes in AST front-end (mostly space vs tab issues)Clifford Wolf2018-11-041-38/+33
* Make and dependent upon LSB onlyZipCPU2018-11-031-2/+8
* Do not generate "reg assigned in a continuous assignment" warnings for "rand ...Clifford Wolf2018-11-011-2/+15
* Merge pull request #659 from rubund/sv_interfacesClifford Wolf2018-10-181-1/+1
|\
| * Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-1/+1
* | Merge pull request #638 from udif/pr_reg_wire_errorClifford Wolf2018-10-171-0/+12
|\ \ | |/ |/|
| * Fixed issue #630 by fixing a minor typo in the previous commitUdi Finkelstein2018-09-251-2/+2
| * Merge branch 'master' into pr_reg_wire_errorUdi Finkelstein2018-09-181-123/+121
| |\
| * | Fixed remaining cases where we check fo wire reg/wire incorrect assignmentsUdi Finkelstein2018-09-181-0/+12
* | | Add read_verilog $changed supportDan Gisselquist2018-10-011-1/+4
* | | Fix handling of $past 2nd argument in read_verilogClifford Wolf2018-09-301-1/+1
| |/ |/|
* | Merge pull request #590 from hzeller/remaining-file-errorClifford Wolf2018-08-151-15/+15
|\ \
| * | Fix remaining log_file_error(); emit dependent file references in new line.Henner Zeller2018-07-201-15/+15
* | | Merge pull request #513 from udif/pr_reg_wire_errorClifford Wolf2018-08-151-0/+42
|\ \ \ | |/ / |/| / | |/
| * Modified errors into warningsUdi Finkelstein2018-06-051-6/+38
| * This PR should be the base for discussion, do not merge it yet!Udi Finkelstein2018-03-111-0/+10
* | Convert more log_error() to log_file_error() where possible.Henner Zeller2018-07-201-54/+53
* | Use log_file_warning(), log_file_error() functions.Henner Zeller2018-07-201-61/+60
|/
* Add $allconst and $allseq cell typesClifford Wolf2018-02-231-1/+1
* Add support for "yosys -E"Clifford Wolf2018-01-071-0/+1
* Fix error handling for nested always/initialClifford Wolf2017-12-021-0/+3
* Remove some dead codeClifford Wolf2017-10-101-15/+0
* Allow $past, $stable, $rose, $fell in $global_clock blocksClifford Wolf2017-10-101-1/+5