aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/ast.cc
Commit message (Expand)AuthorAgeFilesLines
* Add "noblackbox" attributeClifford Wolf2019-04-211-17/+27
* New behavior for front-end handling of whiteboxesClifford Wolf2019-04-201-16/+68
* Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-181-2/+20
* Improve "read_verilog -dump_vlog[12]" handling of upto rangesClifford Wolf2019-03-211-3/+6
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-211-9/+17
* Fix typographical and grammatical errors and inconsistencies.whitequark2019-01-021-2/+2
* Various indenting fixes in AST front-end (mostly space vs tab issues)Clifford Wolf2018-11-041-6/+3
* Refactor code to avoid code duplication + added commentsRuben Undheim2018-10-201-113/+99
* Support for SystemVerilog interfaces as a port in the top level module + test...Ruben Undheim2018-10-201-3/+105
* Fixed memory leakRuben Undheim2018-10-201-0/+1
* Documentation improvements etc.Ruben Undheim2018-10-131-3/+28
* Fix build error with clangRuben Undheim2018-10-121-1/+1
* Support for 'modports' for System Verilog interfacesRuben Undheim2018-10-121-4/+36
* Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-11/+122
* Added -no_dump_ptr flag for AST dump options in 'read_verilog'Udi Finkelstein2018-08-231-6/+9
* Merge pull request #513 from udif/pr_reg_wire_errorClifford Wolf2018-08-151-1/+7
|\
| * Modified errors into warningsUdi Finkelstein2018-06-051-0/+1
| * This PR should be the base for discussion, do not merge it yet!Udi Finkelstein2018-03-111-1/+6
* | Convert more log_error() to log_file_error() where possible.Henner Zeller2018-07-201-7/+6
* | Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-031-5/+13
|/
* Bugfix in hierarchy handling of blackbox module portsClifford Wolf2018-01-051-1/+1
* Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-301-7/+7
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-0/+2
* Preserve string parametersClifford Wolf2017-02-231-2/+8
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-0/+1
* Added support for hierarchical defparamsClifford Wolf2016-11-151-2/+7
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-4/+2
* Added avail params to ilang format, check module params in 'hierarchy -check'Clifford Wolf2016-10-221-2/+7
* Avoid creation of bogus initial blocks for assert/assume in always @*Clifford Wolf2016-09-061-0/+1
* Removed $predict againClifford Wolf2016-08-281-1/+0
* Minor improvements to AstNode::dumpAst() and AstNode::dumpVlog()Clifford Wolf2016-08-211-4/+15
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-271-5/+16
* After reading the SV spec, using non-standard predict() instead of expect()Clifford Wolf2016-07-211-1/+1
* Added basic support for $expect cellsClifford Wolf2016-07-131-0/+1
* A few modifications after pull request commentsRuben Undheim2016-06-181-2/+2
* Added support for SystemVerilog packages with localparam definitionsRuben Undheim2016-06-181-0/+12
* Include <cmath> in yosys.hClifford Wolf2016-05-081-9/+0
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
* Fixed handling of parameters and const functions in casex/casez patternClifford Wolf2016-04-211-1/+10
* Fixed some visual studio warningsClifford Wolf2016-02-131-1/+1
* Fixed segfault in AstNode::asRealClifford Wolf2015-09-251-1/+1
* Fixed AstNode::mkconst_bits() segfault on zero-sized constantClifford Wolf2015-09-241-1/+1
* Another block of spelling fixesLarry Doolittle2015-08-141-4/+4
* Fixed trailing whitespacesClifford Wolf2015-07-021-3/+3
* Added non-std verilog assume() statementClifford Wolf2015-02-261-0/+1
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-141-2/+6
* Creating $meminit cells in verilog front-endClifford Wolf2015-02-141-1/+2
* Added global yosys_celltypesClifford Wolf2014-12-291-1/+1
* dict/pool changes in astClifford Wolf2014-12-291-0/+4
* Changed more code to dict<> and pool<>Clifford Wolf2014-12-281-1/+1