aboutsummaryrefslogtreecommitdiffstats
path: root/backends
Commit message (Expand)AuthorAgeFilesLines
* Add $live and $fair support to AIGER back-end.Clifford Wolf2017-02-251-8/+104
* Add "write_smt2 -stbv"Clifford Wolf2017-02-243-49/+179
* Add SMT2 statebv mode (inactive for now)Clifford Wolf2017-02-241-20/+47
* Add "yosys-smtbmc -S <opt>"Clifford Wolf2017-02-191-7/+18
* Add warning about x/z bits left unconnected in EDIF outputClifford Wolf2017-02-141-2/+5
* More progress on Firrtl backend.Adam Izraelevitz2017-02-133-27/+181
* Add assert check in "yosys-smtbmc -c"Clifford Wolf2017-02-041-7/+28
* Improve yosys-smtbmc cover() supportClifford Wolf2017-02-041-5/+19
* Partially implement cover() support in yosys-smtbmcClifford Wolf2017-02-043-4/+97
* Further improve cover() supportClifford Wolf2017-02-041-3/+5
* Add "yosys-smtbmc --aig <aim_filename>:<aiw_filename>" supportClifford Wolf2017-01-301-5/+14
* Add "yosys-smtbmc --aig-noheader" and AIGER mem init supportClifford Wolf2017-01-282-8/+55
* Fix $initstate handling bug in yosys-smtbmcClifford Wolf2017-01-111-0/+2
* Implicitly set "yosys-smtbmc --noprogress" on windowsClifford Wolf2017-01-041-3/+4
* Fixed "yosys-smtbmc --noprogress"Clifford Wolf2017-01-041-1/+1
* Handle "always 1" like "always -1" in .smtc filesClifford Wolf2017-01-021-7/+5
* Improved write_json help messageClifford Wolf2016-12-291-0/+4
* Added $anyconst support to AIGER back-endClifford Wolf2016-12-111-0/+7
* Added $assert/$assume support to AIGER back-endClifford Wolf2016-12-031-10/+51
* Improved yosys-smtbmc default -t/--assume-skipped for --cex and --aigClifford Wolf2016-12-031-2/+15
* Added "yosys-smtbmc --aig"Clifford Wolf2016-12-011-6/+127
* Added support for partially initialized regs to smt2 back-endClifford Wolf2016-12-011-3/+15
* Added "write_aiger -zinit -symbols -vmap"Clifford Wolf2016-12-011-30/+139
* Added "write_aiger" commandClifford Wolf2016-11-302-0/+398
* Bugfix in smt2 back-end for pure checker modulesClifford Wolf2016-11-281-0/+4
* Removed shebang line from smtio.py, fixes #279Clifford Wolf2016-11-271-1/+0
* Added wire start_offset and upto handling BLIF back-endClifford Wolf2016-11-231-1/+1
* Added "yosys-smtbmc --append"Clifford Wolf2016-11-221-2/+20
* Bugfix: include assign to write-maskAdam Izraelevitz2016-11-181-0/+1
* More progress in FIRRTL back-endClifford Wolf2016-11-183-4/+121
* Progress in FIRRTL back-endClifford Wolf2016-11-184-5/+55
* Added first draft of FIRRTL back-endClifford Wolf2016-11-172-0/+353
* Cleanups and fixed in write_verilog regarding reg initClifford Wolf2016-11-161-15/+61
* Added hex constant support to write_verilogClifford Wolf2016-11-031-4/+62
* Adde "write_verilog -renameprefix -v"Clifford Wolf2016-11-011-5/+23
* Added avail params to ilang format, check module params in 'hierarchy -check'Clifford Wolf2016-10-221-0/+7
* Ignore L_pi nets in "yosys-smtbmc --cex"Clifford Wolf2016-10-181-2/+5
* Use init value "2" for all uninitialized FFs in BLIF back-endClifford Wolf2016-10-181-4/+1
* Added "yosys-smtbmc --cex <filename>"Clifford Wolf2016-10-171-1/+35
* cleanup in write_smt2 log messages (-bv and -mem are now default)Clifford Wolf2016-10-161-1/+1
* Added $anyseq cell typeClifford Wolf2016-10-141-1/+1
* Added $global_clock verilog syntax support for creating $ff cellsClifford Wolf2016-10-141-0/+1
* Added $ff and $_FF_ cell typesClifford Wolf2016-10-122-5/+11
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2016-10-112-1/+3
|\
| * Added smtc support for top-level state with [], [N:] syntaxClifford Wolf2016-10-082-1/+3
* | Bugfix in yosys-smtbmc --noincrClifford Wolf2016-10-041-1/+8
|/
* yosys-smtbmc: ABC is a QF_BV solverClifford Wolf2016-10-031-0/+1
* Added "yosys-smtbmc --noincr"Clifford Wolf2016-10-031-10/+39
* yosys-smtbmc: added smtc [...] support for cellsClifford Wolf2016-10-021-0/+2
* Added "yosys-smtbmc -s abc"Clifford Wolf2016-10-011-0/+4